Problem in Xilinx Rocket IO Simulation using HyperLynx SI tool

Hi, We are in the process of designing a board using Xilinx Rocket-IO buffers. When I try to simulate certain nets driven by V2-Pro using Mentor Graphics HyperLynx simulator (we are using the EldoLynx Spice Simulator), I am getting an error message and the simulation halts. I have copied the error message below. Please look at ERROR-702 at the bottom of the attached file. kindly go through the same and suggest the needful. Thanks & Regards,

Ravi

-------------------ERROR MESSAGE-------------------------------------

1*******11-Oct-04 ******* ELDO v6.3_2.1 (Production version) (v6.3_2.1) *******21:22:33******

0* SPICE TEST file for net Lsw00 - D:\PROJECTS\XALTED\SIMULATION\XLS-20\CO_X_B1P.sp

0**** INPUT LISTING

0*********************************************************************** 2

3 4 *** NOTE *** NOTE *** NOTE *** NOTE *** NOTE *** NOTE *** NOTE *** NOTE *** 5 * * 6 * Be sure to check all settings if you make use of this test circuit. * 7 * * 8 *** NOTE *** NOTE *** NOTE *** NOTE *** NOTE *** NOTE *** NOTE *** NOTE *** 9 10 11 12 * Output from HyperLynx SPICE Writer 13 * Created by CG-CoreEl on Date: Monday Oct. 11,2004 Time: 21:22:33 14 * Created with HyperLynx version: 7.2 build: 330 15 * Design file: CO_X_B1P.tln 16 * Special Settings: Coupled Lead-Parasitics 17 18 .TEMP 20.000000 19 20 V1003 1003 0 3.3 21 V1004 1004 0 0 22 V1005 1005 0 5 23 V1006 1006 0 5 24 V1007 1007 0 5 25 V1008 1008 0 5 26 V1009 1009 0 5 27 V1010 1010 0 5 28 V1011 1011 0 5 29 V1012 1012 0 0 30 VINP 1013 0 PWL 0.0000NS 0.00V 0.0392NS 1.00V 0.3920NS 1.00V 0.4312NS 0.00V 0.8000NS 0.00V 0.8392NS 1.00V 1.1920NS 1.00V 1.2312NS 0.00V 1.6000NS 0.00V 1.6392NS 1.00V 1.9920NS 1.00V 2.0312NS 0.00V 2.4000NS 0.00V 2.4392NS 1.00V 2.7920NS 1.00V 2.8312NS 0.00V 3.2000NS 0.00V 3.2392NS 1.00V 3.5920NS 1.00V 3.6312NS 0.00V 4.0000NS 0.00V 4.0392NS 1.00V 4.3920NS 1.00V 4.4312NS 0.00V 31 + 4.8000NS 0.00V 4.8392NS 1.00V 5.1920NS 1.00V 5.2312NS 0.00V 5.6000NS 0.00V 5.6392NS 1.00V 5.9920NS 1.00V 6.0312NS 0.00V 6.4000NS 0.00V 6.4392NS 1.00V 6.7920NS 1.00V 6.8312NS 0.00V 7.2000NS 0.00V 7.2392NS 1.00V 7.5920NS 1.00V 7.6312NS 0.00V 8.0000NS 0.00V 8.0392NS 1.00V 8.3920NS 1.00V 8.4312NS 0.00V 8.8000NS 0.00V 8.8392NS 1.00V 9.1920NS 1.00V 9.2312NS 0.00V 9.6000NS 0.00V 32 + 9.6392NS 1.00V 9.9920NS 1.00V 10.0312NS 0.00V 10.4000NS 0.00V 10.4392NS 1.00V 10.7920NS 1.00V 10.8312NS 0.00V 11.2000NS 0.00V 11.2392NS 1.00V 11.5920NS 1.00V 11.6312NS 0.00V 12.0000NS 0.00V 12.0392NS 1.00V 12.3920NS 1.00V 12.4312NS 0.00V 12.8000NS 0.00V 12.8392NS 1.00V 13.1920NS 1.00V 13.2312NS 0.00V 13.6000NS 0.00V 13.6392NS 1.00V 13.9920NS 1.00V 14.0312NS 0.00V 14.4000NS 0.00V 14.4392NS 1.00V 33 + 14.7920NS 1.00V 14.8312NS 0.00V 15.2000NS 0.00V 15.2392NS 1.00V 15.5920NS 1.00V 15.6312NS 0.00V 16.0000NS 0.00V 16.0392NS 1.00V 16.3920NS 1.00V 16.4312NS 0.00V 16.8000NS 0.00V 16.8392NS 1.00V 17.1920NS 1.00V 17.2312NS 0.00V 17.6000NS 0.00V 17.6392NS 1.00V 17.9920NS 1.00V 18.0312NS 0.00V 18.4000NS 0.00V 18.4392NS 1.00V 18.7920NS 1.00V 18.8312NS 0.00V 19.2000NS 0.00V 19.2392NS 1.00V 19.5920NS 1.00V 34 + 19.6312NS 0.00V 20.0000NS 0.00V 20.0392NS 1.00V 20.3920NS 1.00V 20.4312NS 0.00V 20.8000NS 0.00V 20.8392NS 1.00V 21.1920NS 1.00V 21.2312NS 0.00V 21.6000NS 0.00V 21.6392NS 1.00V 21.9920NS 1.00V 22.0312NS 0.00V 22.4000NS 0.00V 22.4392NS 1.00V 22.7920NS 1.00V 22.8312NS 0.00V 23.2000NS 0.00V 23.2392NS 1.00V 23.5920NS 1.00V 23.6312NS 0.00V 24.0000NS 0.00V 24.0392NS 1.00V 24.3920NS 1.00V 24.4312NS 0.00V 35 + 24.8000NS 0.00V 24.8392NS 1.00V 25.1920NS 1.00V 25.2312NS 0.00V 25.6000NS 0.00V 25.6392NS 1.00V 25.9920NS 1.00V 26.0312NS 0.00V 26.4000NS 0.00V 26.4392NS 1.00V 26.7920NS 1.00V 26.8312NS 0.00V 27.2000NS 0.00V 27.2392NS 1.00V 27.5920NS 1.00V 27.6312NS 0.00V 28.0000NS 0.00V 28.0392NS 1.00V 28.3920NS 1.00V 28.4312NS 0.00V 28.8000NS 0.00V 28.8392NS 1.00V 29.1920NS 1.00V 29.2312NS 0.00V 29.6000NS 0.00V 36 + 29.6392NS 1.00V 29.9920NS 1.00V 30.0312NS 0.00V 30.4000NS 0.00V 30.4392NS 1.00V 30.7920NS 1.00V 30.8312NS 0.00V 31.2000NS 0.00V 31.2392NS 1.00V 31.5920NS 1.00V 31.6312NS 0.00V 32.0000NS 0.00V 32.0392NS 1.00V 32.3920NS 1.00V 32.4312NS 0.00V 32.8000NS 0.00V 32.8392NS 1.00V 33.1920NS 1.00V 33.2312NS 0.00V 33.6000NS 0.00V 33.6392NS 1.00V 33.9920NS 1.00V 34.0312NS 0.00V 34.4000NS 0.00V 34.4392NS 1.00V 37 + 34.7920NS 1.00V 34.8312NS 0.00V 35.2000NS 0.00V 35.2392NS 1.00V 35.5920NS 1.00V 35.6312NS 0.00V 36.0000NS 0.00V 36.0392NS 1.00V 36.3920NS 1.00V 36.4312NS 0.00V 36.8000NS 0.00V 36.8392NS 1.00V 37.1920NS 1.00V 37.2312NS 0.00V 37.6000NS 0.00V 37.6392NS 1.00V 37.9920NS 1.00V 38.0312NS 0.00V 38.4000NS 0.00V 38.4392NS 1.00V 38.7920NS 1.00V 38.8312NS 0.00V 39.2000NS 0.00V 39.2392NS 1.00V 39.5920NS 1.00V 38 + 39.6312NS 0.00V 40.0000NS 0.00V 40.0392NS 1.00V 40.3920NS 1.00V 40.4312NS 0.00V 40.8000NS 0.00V 40.8392NS 1.00V 41.1920NS 1.00V 41.2312NS 0.00V 41.6000NS 0.00V 41.6392NS 1.00V 41.9920NS 1.00V 42.0312NS 0.00V 42.4000NS 0.00V 42.4392NS 1.00V 42.7920NS 1.00V 42.8312NS 0.00V 43.2000NS 0.00V 43.2392NS 1.00V 43.5920NS 1.00V 43.6312NS 0.00V 44.0000NS 0.00V 44.0392NS 1.00V 44.3920NS 1.00V 44.4312NS 0.00V 39 + 44.8000NS 0.00V 44.8392NS 1.00V 45.1920NS 1.00V 45.2312NS 0.00V 45.6000NS 0.00V 45.6392NS 1.00V 45.9920NS 1.00V 46.0312NS 0.00V 46.4000NS 0.00V 46.4392NS 1.00V 46.7920NS 1.00V 46.8312NS 0.00V 47.2000NS 0.00V 47.2392NS 1.00V 47.5920NS 1.00V 47.6312NS 0.00V 48.0000NS 0.00V 48.0392NS 1.00V 48.3920NS 1.00V 48.4312NS 0.00V 48.8000NS 0.00V 48.8392NS 1.00V 49.1920NS 1.00V 49.2312NS 0.00V 49.6000NS 0.00V 40 + 49.6392NS 1.00V 49.9920NS 1.00V 50.0312NS 0.00V 50.4000NS 0.00V 50.4392NS 1.00V 50.7920NS 1.00V 50.8312NS 0.00V 51.2000NS 0.00V 51.2392NS 1.00V 51.5920NS 1.00V 51.6312NS 0.00V 52.0000NS 0.00V 52.0392NS 1.00V 52.3920NS 1.00V 52.4312NS 0.00V 52.8000NS 0.00V 52.8392NS 1.00V 53.1920NS 1.00V 53.2312NS 0.00V 53.6000NS 0.00V 53.6392NS 1.00V 53.9920NS 1.00V 54.0312NS 0.00V 54.4000NS 0.00V 54.4392NS 1.00V 41 + 54.7920NS 1.00V 54.8312NS 0.00V 55.2000NS 0.00V 55.2392NS 1.00V 55.5920NS 1.00V 55.6312NS 0.00V 56.0000NS 0.00V 56.0392NS 1.00V 56.3920NS 1.00V 56.4312NS 0.00V 56.8000NS 0.00V 56.8392NS 1.00V 57.1920NS 1.00V 57.2312NS 0.00V 57.6000NS 0.00V 57.6392NS 1.00V 57.9920NS 1.00V 58.0312NS 0.00V 58.4000NS 0.00V 58.4392NS 1.00V 58.7920NS 1.00V 58.8312NS 0.00V 59.2000NS 0.00V 59.2392NS 1.00V 59.5920NS 1.00V 42 + 59.6312NS 0.00V 60.0000NS 0.00V 60.0392NS 1.00V 60.3920NS 1.00V 60.4312NS 0.00V 60.8000NS 0.00V 60.8392NS 1.00V 61.1920NS 1.00V 61.2312NS 0.00V 61.6000NS 0.00V 61.6392NS 1.00V 61.9920NS 1.00V 62.0312NS 0.00V 62.4000NS 0.00V 62.4392NS 1.00V 62.7920NS 1.00V 62.8312NS 0.00V 63.2000NS 0.00V 63.2392NS 1.00V 63.5920NS 1.00V 63.6312NS 0.00V 64.0000NS 0.00V 64.0392NS 1.00V 64.3920NS 1.00V 64.4312NS 0.00V 43 + 64.8000NS 0.00V 64.8392NS 1.00V 65.1920NS 1.00V 65.2312NS 0.00V 65.6000NS 0.00V 65.6392NS 1.00V 65.9920NS 1.00V 66.0312NS 0.00V 66.4000NS 0.00V 66.4392NS 1.00V 66.7920NS 1.00V 66.8312NS 0.00V 67.2000NS 0.00V 67.2392NS 1.00V 67.5920NS 1.00V 67.6312NS 0.00V 68.0000NS 0.00V 68.0392NS 1.00V 68.3920NS 1.00V 68.4312NS 0.00V 68.8000NS 0.00V 68.8392NS 1.00V 69.1920NS 1.00V 69.2312NS 0.00V 69.6000NS 0.00V 44 + 69.6392NS 1.00V 69.9920NS 1.00V 70.0312NS 0.00V 70.4000NS 0.00V 70.4392NS 1.00V 70.7920NS 1.00V 70.8312NS 0.00V 71.2000NS 0.00V 71.2392NS 1.00V 71.5920NS 1.00V 71.6312NS 0.00V 72.0000NS 0.00V 72.0392NS 1.00V 72.3920NS 1.00V 72.4312NS 0.00V 72.8000NS 0.00V 72.8392NS 1.00V 73.1920NS 1.00V 73.2312NS 0.00V 73.6000NS 0.00V 73.6392NS 1.00V 73.9920NS 1.00V 74.0312NS 0.00V 74.4000NS 0.00V 74.4392NS 1.00V 45 + 74.7920NS 1.00V 74.8312NS 0.00V 75.2000NS 0.00V 75.2392NS 1.00V 75.5920NS 1.00V 75.6312NS 0.00V 76.0000NS 0.00V 76.0392NS 1.00V 76.3920NS 1.00V 76.4312NS 0.00V 76.8000NS 0.00V 76.8392NS 1.00V 77.1920NS 1.00V 77.2312NS 0.00V 77.6000NS 0.00V 77.6392NS 1.00V 77.9920NS 1.00V 78.0312NS 0.00V 78.4000NS 0.00V 78.4392NS 1.00V 78.7920NS 1.00V 78.8312NS 0.00V 79.2000NS 0.00V 79.2392NS 1.00V 79.5920NS 1.00V 46 + 79.6312NS 0.00V 80.0000NS 0.00V 80.0392NS 1.00V 80.3920NS 1.00V 80.4312NS 0.00V 80.8000NS 0.00V 80.8392NS 1.00V 81.1920NS 1.00V 81.2312NS 0.00V 81.6000NS 0.00V 81.6392NS 1.00V 81.9920NS 1.00V 82.0312NS 0.00V 82.4000NS 0.00V 82.4392NS 1.00V 82.7920NS 1.00V 82.8312NS 0.00V 83.2000NS 0.00V 83.2392NS 1.00V 83.5920NS 1.00V 83.6312NS 0.00V 84.0000NS 0.00V 84.0392NS 1.00V 84.3920NS 1.00V 84.4312NS 0.00V 47 + 84.8000NS 0.00V 84.8392NS 1.00V 85.1920NS 1.00V 85.2312NS 0.00V 85.6000NS 0.00V 85.6392NS 1.00V 85.9920NS 1.00V 86.0312NS 0.00V 86.4000NS 0.00V 86.4392NS 1.00V 86.7920NS 1.00V 86.8312NS 0.00V 87.2000NS 0.00V 87.2392NS 1.00V 87.5920NS 1.00V 87.6312NS 0.00V 88.0000NS 0.00V 88.0392NS 1.00V 88.3920NS 1.00V 88.4312NS 0.00V 88.8000NS 0.00V 88.8392NS 1.00V 89.1920NS 1.00V 89.2312NS 0.00V 89.6000NS 0.00V 48 + 89.6392NS 1.00V 89.9920NS 1.00V 90.0312NS 0.00V 90.4000NS 0.00V 90.4392NS 1.00V 90.7920NS 1.00V 90.8312NS 0.00V 91.2000NS 0.00V 91.2392NS 1.00V 91.5920NS 1.00V 91.6312NS 0.00V 92.0000NS 0.00V 92.0392NS 1.00V 92.3920NS 1.00V 92.4312NS 0.00V 92.8000NS 0.00V 92.8392NS 1.00V 93.1920NS 1.00V 93.2312NS 0.00V 93.6000NS 0.00V 93.6392NS 1.00V 93.9920NS 1.00V 94.0312NS 0.00V 94.4000NS 0.00V 94.4392NS 1.00V 49 + 94.7920NS 1.00V 94.8312NS 0.00V 95.2000NS 0.00V 95.2392NS 1.00V 95.5920NS 1.00V 95.6312NS 0.00V 96.0000NS 0.00V 96.0392NS 1.00V 96.3920NS 1.00V 96.4312NS 0.00V 96.8000NS 0.00V 96.8392NS 1.00V 97.1920NS 1.00V 97.2312NS 0.00V 97.6000NS 0.00V 97.6392NS 1.00V 97.9920NS 1.00V 98.0312NS 0.00V 98.4000NS 0.00V 98.4392NS 1.00V 98.7920NS 1.00V 98.8312NS 0.00V 99.2000NS 0.00V 99.2392NS 1.00V 99.5920NS 1.00V 50 + 99.6312NS 0.00V 51 VINN 1014 0 PWL 0.0000NS 1.00V 0.0392NS 0.00V 0.3920NS 0.00V 0.4312NS 1.00V 0.8000NS 1.00V 0.8392NS 0.00V 1.1920NS 0.00V 1.2312NS 1.00V 1.6000NS 1.00V 1.6392NS 0.00V 1.9920NS 0.00V 2.0312NS 1.00V 2.4000NS 1.00V 2.4392NS 0.00V 2.7920NS 0.00V 2.8312NS 1.00V 3.2000NS 1.00V 3.2392NS 0.00V 3.5920NS 0.00V 3.6312NS 1.00V 4.0000NS 1.00V 4.0392NS 0.00V 4.3920NS 0.00V 4.4312NS 1.00V 52 + 4.8000NS 1.00V 4.8392NS 0.00V 5.1920NS 0.00V 5.2312NS 1.00V 5.6000NS 1.00V 5.6392NS 0.00V 5.9920NS 0.00V 6.0312NS 1.00V 6.4000NS 1.00V 6.4392NS 0.00V 6.7920NS 0.00V 6.8312NS 1.00V 7.2000NS 1.00V 7.2392NS 0.00V 7.5920NS 0.00V 7.6312NS 1.00V 8.0000NS 1.00V 8.0392NS 0.00V 8.3920NS 0.00V 8.4312NS 1.00V 8.8000NS 1.00V 8.8392NS 0.00V 9.1920NS 0.00V 9.2312NS 1.00V 9.6000NS 1.00V 53 + 9.6392NS 0.00V 9.9920NS 0.00V 10.0312NS 1.00V 10.4000NS 1.00V 10.4392NS 0.00V 10.7920NS 0.00V 10.8312NS 1.00V 11.2000NS 1.00V 11.2392NS 0.00V 11.5920NS 0.00V 11.6312NS 1.00V 12.0000NS 1.00V 12.0392NS 0.00V 12.3920NS 0.00V 12.4312NS 1.00V 12.8000NS 1.00V 12.8392NS 0.00V 13.1920NS 0.00V 13.2312NS 1.00V 13.6000NS 1.00V 13.6392NS 0.00V 13.9920NS 0.00V 14.0312NS 1.00V 14.4000NS 1.00V 14.4392NS 0.00V 54 + 14.7920NS 0.00V 14.8312NS 1.00V 15.2000NS 1.00V 15.2392NS 0.00V 15.5920NS 0.00V 15.6312NS 1.00V 16.0000NS 1.00V 16.0392NS 0.00V 16.3920NS 0.00V 16.4312NS 1.00V 16.8000NS 1.00V 16.8392NS 0.00V 17.1920NS 0.00V 17.2312NS 1.00V 17.6000NS 1.00V 17.6392NS 0.00V 17.9920NS 0.00V 18.0312NS 1.00V 18.4000NS 1.00V 18.4392NS 0.00V 18.7920NS 0.00V 18.8312NS 1.00V 19.2000NS 1.00V 19.2392NS 0.00V 19.5920NS 0.00V 55 + 19.6312NS 1.00V 20.0000NS 1.00V 20.0392NS 0.00V 20.3920NS 0.00V 20.4312NS 1.00V 20.8000NS 1.00V 20.8392NS 0.00V 21.1920NS 0.00V 21.2312NS 1.00V 21.6000NS 1.00V 21.6392NS 0.00V 21.9920NS 0.00V 22.0312NS 1.00V 22.4000NS 1.00V 22.4392NS 0.00V 22.7920NS 0.00V 22.8312NS 1.00V 23.2000NS 1.00V 23.2392NS 0.00V 23.5920NS 0.00V 23.6312NS 1.00V 24.0000NS 1.00V 24.0392NS 0.00V 24.3920NS 0.00V 24.4312NS 1.00V 56 + 24.8000NS 1.00V 24.8392NS 0.00V 25.1920NS 0.00V 25.2312NS 1.00V 25.6000NS 1.00V 25.6392NS 0.00V 25.9920NS 0.00V 26.0312NS 1.00V 26.4000NS 1.00V 26.4392NS 0.00V 26.7920NS 0.00V 26.8312NS 1.00V 27.2000NS 1.00V 27.2392NS 0.00V 27.5920NS 0.00V 27.6312NS 1.00V 28.0000NS 1.00V 28.0392NS 0.00V 28.3920NS 0.00V 28.4312NS 1.00V 28.8000NS 1.00V 28.8392NS 0.00V 29.1920NS 0.00V 29.2312NS 1.00V 29.6000NS 1.00V 57 + 29.6392NS 0.00V 29.9920NS 0.00V 30.0312NS 1.00V 30.4000NS 1.00V 30.4392NS 0.00V 30.7920NS 0.00V 30.8312NS 1.00V 31.2000NS 1.00V 31.2392NS 0.00V 31.5920NS 0.00V 31.6312NS 1.00V 32.0000NS 1.00V 32.0392NS 0.00V 32.3920NS 0.00V 32.4312NS 1.00V 32.8000NS 1.00V 32.8392NS 0.00V 33.1920NS 0.00V 33.2312NS 1.00V 33.6000NS 1.00V 33.6392NS 0.00V 33.9920NS 0.00V 34.0312NS 1.00V 34.4000NS 1.00V 34.4392NS 0.00V 58 + 34.7920NS 0.00V 34.8312NS 1.00V 35.2000NS 1.00V 35.2392NS 0.00V 35.5920NS 0.00V 35.6312NS 1.00V 36.0000NS 1.00V 36.0392NS 0.00V 36.3920NS 0.00V 36.4312NS 1.00V 36.8000NS 1.00V 36.8392NS 0.00V 37.1920NS 0.00V 37.2312NS 1.00V 37.6000NS 1.00V 37.6392NS 0.00V 37.9920NS 0.00V 38.0312NS 1.00V 38.4000NS 1.00V 38.4392NS 0.00V 38.7920NS 0.00V 38.8312NS 1.00V 39.2000NS 1.00V 39.2392NS 0.00V 39.5920NS 0.00V 59 + 39.6312NS 1.00V 40.0000NS 1.00V 40.0392NS 0.00V 40.3920NS 0.00V 40.4312NS 1.00V 40.8000NS 1.00V 40.8392NS 0.00V 41.1920NS 0.00V 41.2312NS 1.00V 41.6000NS 1.00V 41.6392NS 0.00V 41.9920NS 0.00V 42.0312NS 1.00V 42.4000NS 1.00V 42.4392NS 0.00V 42.7920NS 0.00V 42.8312NS 1.00V 43.2000NS 1.00V 43.2392NS 0.00V 43.5920NS 0.00V 43.6312NS 1.00V 44.0000NS 1.00V 44.0392NS 0.00V 44.3920NS 0.00V 44.4312NS 1.00V 60 + 44.8000NS 1.00V 44.8392NS 0.00V 45.1920NS 0.00V 45.2312NS 1.00V 45.6000NS 1.00V 45.6392NS 0.00V 45.9920NS 0.00V 46.0312NS 1.00V 46.4000NS 1.00V 46.4392NS 0.00V 46.7920NS 0.00V 46.8312NS 1.00V 47.2000NS 1.00V 47.2392NS 0.00V 47.5920NS 0.00V 47.6312NS 1.00V 48.0000NS 1.00V 48.0392NS 0.00V 48.3920NS 0.00V 48.4312NS 1.00V 48.8000NS 1.00V 48.8392NS 0.00V 49.1920NS 0.00V 49.2312NS 1.00V 49.6000NS 1.00V 61 + 49.6392NS 0.00V 49.9920NS 0.00V 50.0312NS 1.00V 50.4000NS 1.00V 50.4392NS 0.00V 50.7920NS 0.00V 50.8312NS 1.00V 51.2000NS 1.00V 51.2392NS 0.00V 51.5920NS 0.00V 51.6312NS 1.00V 52.0000NS 1.00V 52.0392NS 0.00V 52.3920NS 0.00V 52.4312NS 1.00V 52.8000NS 1.00V 52.8392NS 0.00V 53.1920NS 0.00V 53.2312NS 1.00V 53.6000NS 1.00V 53.6392NS 0.00V 53.9920NS 0.00V 54.0312NS 1.00V 54.4000NS 1.00V 54.4392NS 0.00V 62 + 54.7920NS 0.00V 54.8312NS 1.00V 55.2000NS 1.00V 55.2392NS 0.00V 55.5920NS 0.00V 55.6312NS 1.00V 56.0000NS 1.00V 56.0392NS 0.00V 56.3920NS 0.00V 56.4312NS 1.00V 56.8000NS 1.00V 56.8392NS 0.00V 57.1920NS 0.00V 57.2312NS 1.00V 57.6000NS 1.00V 57.6392NS 0.00V 57.9920NS 0.00V 58.0312NS 1.00V 58.4000NS 1.00V 58.4392NS 0.00V 58.7920NS 0.00V 58.8312NS 1.00V 59.2000NS 1.00V 59.2392NS 0.00V 59.5920NS 0.00V 63 + 59.6312NS 1.00V 60.0000NS 1.00V 60.0392NS 0.00V 60.3920NS 0.00V 60.4312NS 1.00V 60.8000NS 1.00V 60.8392NS 0.00V 61.1920NS 0.00V 61.2312NS 1.00V 61.6000NS 1.00V 61.6392NS 0.00V 61.9920NS 0.00V 62.0312NS 1.00V 62.4000NS 1.00V 62.4392NS 0.00V 62.7920NS 0.00V 62.8312NS 1.00V 63.2000NS 1.00V 63.2392NS 0.00V 63.5920NS 0.00V 63.6312NS 1.00V 64.0000NS 1.00V 64.0392NS 0.00V 64.3920NS 0.00V 64.4312NS 1.00V 64 + 64.8000NS 1.00V 64.8392NS 0.00V 65.1920NS 0.00V 65.2312NS 1.00V 65.6000NS 1.00V 65.6392NS 0.00V 65.9920NS 0.00V 66.0312NS 1.00V 66.4000NS 1.00V 66.4392NS 0.00V 66.7920NS 0.00V 66.8312NS 1.00V 67.2000NS 1.00V 67.2392NS 0.00V 67.5920NS 0.00V 67.6312NS 1.00V 68.0000NS 1.00V 68.0392NS 0.00V 68.3920NS 0.00V 68.4312NS 1.00V 68.8000NS 1.00V 68.8392NS 0.00V 69.1920NS 0.00V 69.2312NS 1.00V 69.6000NS 1.00V 65 + 69.6392NS 0.00V 69.9920NS 0.00V 70.0312NS 1.00V 70.4000NS 1.00V 70.4392NS 0.00V 70.7920NS 0.00V 70.8312NS 1.00V 71.2000NS 1.00V 71.2392NS 0.00V 71.5920NS 0.00V 71.6312NS 1.00V 72.0000NS 1.00V 72.0392NS 0.00V 72.3920NS 0.00V 72.4312NS 1.00V 72.8000NS 1.00V 72.8392NS 0.00V 73.1920NS 0.00V 73.2312NS 1.00V 73.6000NS 1.00V 73.6392NS 0.00V 73.9920NS 0.00V 74.0312NS 1.00V 74.4000NS 1.00V 74.4392NS 0.00V 66 + 74.7920NS 0.00V 74.8312NS 1.00V 75.2000NS 1.00V 75.2392NS 0.00V 75.5920NS 0.00V 75.6312NS 1.00V 76.0000NS 1.00V 76.0392NS 0.00V 76.3920NS 0.00V 76.4312NS 1.00V 76.8000NS 1.00V 76.8392NS 0.00V 77.1920NS 0.00V 77.2312NS 1.00V 77.6000NS 1.00V 77.6392NS 0.00V 77.9920NS 0.00V 78.0312NS 1.00V 78.4000NS 1.00V 78.4392NS 0.00V 78.7920NS 0.00V 78.8312NS 1.00V 79.2000NS 1.00V 79.2392NS 0.00V 79.5920NS 0.00V 67 + 79.6312NS 1.00V 80.0000NS 1.00V 80.0392NS 0.00V 80.3920NS 0.00V 80.4312NS 1.00V 80.8000NS 1.00V 80.8392NS 0.00V 81.1920NS 0.00V 81.2312NS 1.00V 81.6000NS 1.00V 81.6392NS 0.00V 81.9920NS 0.00V 82.0312NS 1.00V 82.4000NS 1.00V 82.4392NS 0.00V 82.7920NS 0.00V 82.8312NS 1.00V 83.2000NS 1.00V 83.2392NS 0.00V 83.5920NS 0.00V 83.6312NS 1.00V 84.0000NS 1.00V 84.0392NS 0.00V 84.3920NS 0.00V 84.4312NS 1.00V 68 + 84.8000NS 1.00V 84.8392NS 0.00V 85.1920NS 0.00V 85.2312NS 1.00V 85.6000NS 1.00V 85.6392NS 0.00V 85.9920NS 0.00V 86.0312NS 1.00V 86.4000NS 1.00V 86.4392NS 0.00V 86.7920NS 0.00V 86.8312NS 1.00V 87.2000NS 1.00V 87.2392NS 0.00V 87.5920NS 0.00V 87.6312NS 1.00V 88.0000NS 1.00V 88.0392NS 0.00V 88.3920NS 0.00V 88.4312NS 1.00V 88.8000NS 1.00V 88.8392NS 0.00V 89.1920NS 0.00V 89.2312NS 1.00V 89.6000NS 1.00V 69 + 89.6392NS 0.00V 89.9920NS 0.00V 90.0312NS 1.00V 90.4000NS 1.00V 90.4392NS 0.00V 90.7920NS 0.00V 90.8312NS 1.00V 91.2000NS 1.00V 91.2392NS 0.00V 91.5920NS 0.00V 91.6312NS 1.00V 92.0000NS 1.00V 92.0392NS 0.00V 92.3920NS 0.00V 92.4312NS 1.00V 92.8000NS 1.00V 92.8392NS 0.00V 93.1920NS 0.00V 93.2312NS 1.00V 93.6000NS 1.00V 93.6392NS 0.00V 93.9920NS 0.00V 94.0312NS 1.00V 94.4000NS 1.00V 94.4392NS 0.00V 70 + 94.7920NS 0.00V 94.8312NS 1.00V 95.2000NS 1.00V 95.2392NS 0.00V 95.5920NS 0.00V 95.6312NS 1.00V 96.0000NS 1.00V 96.0392NS 0.00V 96.3920NS 0.00V 96.4312NS 1.00V 96.8000NS 1.00V 96.8392NS 0.00V 97.1920NS 0.00V 97.2312NS 1.00V 97.6000NS 1.00V 97.6392NS 0.00V 97.9920NS 0.00V 98.0312NS 1.00V 98.4000NS 1.00V 98.4392NS 0.00V 98.7920NS 0.00V 98.8312NS 1.00V 99.2000NS 1.00V 99.2392NS 0.00V 99.5920NS 0.00V 71 + 99.6312NS 1.00V 72 V001 1002 0 0.0 73 X1 1013 1014 1 2 3 4 CO_X_B1P 74 75 76 77 * Node 1 = S12P1.B5 (driver) Library=rocketio_rx.inc model=rx_esd_term_N_couple 78 * Node 2 = S1P2.B7 Library=rocketio_rx.inc model=rx_esd_term_N_couple 79 * Node 3 = S12P1.A5 (driver) Library=rocketio_rx.inc model=rx_esd_term_N_couple 80 * Node 4 = S1P2.A7 Library=rocketio_rx.inc model=rx_esd_term_N_couple 81 82 * Node 0 = Gnd (Common Return) 83 84 * 85 *.subckt rx_esd_term_N_couple CTD_VDD_15 CTD_VDD_25 CTD_VSS RXN_in RXN_out 86 X2 1003 1003 0 NC0 3 NC1 1 1003 NC2 RX_ESD_TERM_N_COUPLE 87 *.subckt rx_esd_term_N_couple CTD_VDD_15 CTD_VDD_25 CTD_VSS RXN_in RXN_out 88 X3 1003 1003 0 4 NC3 2 NC4 1003 NC5 RX_ESD_TERM_N_COUPLE 89 .OPTIONS SEARCH='D:' 90 .OPTIONS SEARCH='D:\MENTOR GRAPHICS\2004\HYPERLYNX\LIBS' 91 .OPTIONS SEARCH='D:\CKS_KNOWLEDGE\ROCKET-IO KIT\SIS_KIT_V2P_V3.6\EXAMPLE\HYP_BP' 92 .OPTIONS SEARCH='D:\CKS_KNOWLEDGE\ROCKET-IO KIT\SIS_KIT_V2P_V3.6\IC_MODELS\ELDO' 93 .OPTIONS SEARCH='D:\CKS_KNOWLEDGE\ROCKET-IO KIT\SIS_KIT_V2P_V3.6\CONNECTOR_MODELS\TERADYNE_HSD\MODELS' 94 .OPTIONS SEARCH='D:\CKS_KNOWLEDGE\ROCKET-IO KIT\SIS_KIT_V2P_V3.6\CONNECTOR_MODELS\TYCO_HSSDC2\MODELS' 95 .OPTIONS SEARCH='D:\CKS_KNOWLEDGE\ROCKET-IO KIT\SIS_KIT_V2P_V3.6\VIA_MODELS' 96 .OPTIONS SEARCH='D:\PROJECTS\XALTED\SIMULATION\MODELS' 97 .OPTIONS SEARCH='D:\PROJECTS\XALTED\SIMULATION\4X-BPC' 98 .OPTIONS SEARCH='D:\CKS_KNOWLEDGE\ROCKET-IO_KIT\SIS_KIT_V2P_V3.6\EXAMPLE\HYP_BP' 99 .OPTIONS SEARCH='D:\PROJECTS\NATSEM\SPICE_INFO\NETLIST' 100 .OPTIONS SEARCH='D:\PROJECTS\IWAVE\MODELS' 101 .OPTIONS SEARCH='D:\PROJECTS\CENTILLIUM\MOTHERBOARD\MODELS' 102 ** including D:\rocketio_rx.inc 1 ************************************************ 2 ************ Xilinx RocketIO RX ************ 3 ***************** (start) **************** 4 ************************************************ 5 6 .SUBCKT RX_LOOPBACK_TERM CTD_VDD CTD_VSS LBN LBP EN 25 .ENDS RX_LOOPBACK_TERM 26 27 ** End of subcircuit definition. 28 29 .SUBCKT RX_AFE_LOAD2 CTD_VDD CTD_VSS R_2 R_1 R_0 SOURCE 48 .ENDS RX_AFE_LOAD2 49 50 ** End of subcircuit definition. 51 52 .SUBCKT RX_AFE_LOAD1 CTD_VDD CTD_VSS LB RA_1 RA_0 RB_1 RB_0 SOURCE 108 .ENDS RX_AFE_LOAD1 109 110 ** End of subcircuit definition. 111 112 .SUBCKT RX_AFE_R1 CTD_VDD CTD_VSS IN_100U_LB LB LBN LBP OUTN OUTP PD RDN 113 + RDP RX_FE_I_1 RX_FE_I_0 RX_FE_R_9 RX_FE_R_8 RX_FE_R_7 RX_FE_R_6 114 + RX_FE_R_5 RX_FE_R_4 RX_FE_R_3 RX_FE_R_2 RX_FE_R_1 RX_FE_R_0 170 .ENDS RX_AFE_R1 171 172 ** End of subcircuit definition. 173 174 .SUBCKT RX_AC_CAP P1 P2 9 .ENDS RX_AC_CAP 10 11 ** End of subcircuit definition. 12 13 .SUBCKT RX_1M_R CTD_VDD TERM1 TERM2 68 .ENDS RX_1M_R 69 70 ** End of subcircuit definition. 71 72 .SUBCKT RX_AC_R CTD_VDD IPULSE_1 IPULSE_2 VREF 10 .ENDS RX_AC_R 11 12 ** End of subcircuit definition. 13 14 .SUBCKT RX_ESD_TERM_N_COUPLE CTD_VDD_15 CTD_VDD_25 CTD_VSS RXN_IN RXN_OUT 15 + RXP_IN RXP_OUT VTRX VREF 56 .ENDS RX_ESD_TERM_N_COUPLE 57 58 ** End of subcircuit definition. 59 60 .SUBCKT RX CTD_VDD_15 CTD_VDD_25 DATA_N DATA_P LB_N LB_P RXN RXP 61 + RX_GND VTRX LOOPBACK_1 PD RX_FE_I_1 RX_FE_I_0 RX_FE_R_9 RX_FE_R_8 62 + RX_FE_R_7 RX_FE_R_6 RX_FE_R_5 RX_FE_R_4 RX_FE_R_3 RX_FE_R_2 63 + RX_FE_R_1 RX_FE_R_0 VREF_AFE 59 .ENDS RX 60 61 ** End of subcircuit definition. 62 63 64 ************************************************ 65 ************ Xilinx RocketIO RX ************ 66 ****************** (end) ***************** 67 ************************************************ 68 102 103 * 104 105 106 ** including D:\PROJECTS\XALTED\SIMULATION\XLS-20\CO_X_B1P.sp 1 * Netlist for net Lsw00 - D:\PROJECTS\XALTED\SIMULATION\XLS-20\CO_X_B1P.sp 2 3 4 * Output from HyperLynx SPICE Writer 5 * Created by CG-CoreEl on Date: Monday Oct. 11,2004 Time: 21:22:33 6 * Created with HyperLynx version: 7.2 build: 330 7 * Design file: CO_X_B1P.tln 8 * Special Settings: Coupled Lead-Parasitics 9 10 11 .SUBCKT CO_X_B1P VINP VINN 101 111 114 124 12 13 * Node # = . 14 ********************************************** 15 * Node 101 = S12P1.B5 (driver) Library=rocketio_rx.inc model=rx_esd_term_N_couple 16 * Node 111 = S1P2.B7 Library=rocketio_rx.inc model=rx_esd_term_N_couple 17 * Node 114 = S12P1.A5 (driver) Library=rocketio_rx.inc model=rx_esd_term_N_couple 18 * Node 124 = S1P2.A7 Library=rocketio_rx.inc model=rx_esd_term_N_couple 19 20 * Node 0 = Gnd (Common Return) 21 22 23 T001 101 0 103 0 Z0=5.800000E+001 TD=2.000000E-010 24 W002 N=1 103 0 104 0 RLGCmodel=Model_W002 L=0.00369267 MULTIDEBYE=1 25 W008 N=1 109 0 111 0 RLGCmodel=Model_W008 L=0.0165475 MULTIDEBYE=1 26 T009 114 0 116 0 Z0=5.800000E+001 TD=2.000000E-010 27 W010 N=1 116 0 117 0 RLGCmodel=Model_W010 L=0.00147276 MULTIDEBYE=1 28 W016 N=1 122 0 124 0 RLGCmodel=Model_W016 L=0.019668 MULTIDEBYE=1 29 30 WCOND_000 121 108 0 122 109 0 RLGCmodel=Cond_000 N=2 L=0.008352 MULTIDEBYE=1 31 WCOND_001 120 107 0 121 108 0 RLGCmodel=Cond_001 N=2 L=0.023949 MULTIDEBYE=1 32 WCOND_002 119 106 0 120 107 0 RLGCmodel=Cond_002 N=2 L=0.210226 MULTIDEBYE=1 33 WCOND_003 105 118 0 106 119 0 RLGCmodel=Cond_003 N=2 L=0.011608 MULTIDEBYE=1 34 WCOND_004 117 104 0 118 105 0 RLGCmodel=Cond_004 N=2 L=0.013277 MULTIDEBYE=1 35 V1 1 0 0.00 36 **** Transmission line models *********************** 37 38 ********************************* 39 * Single uncoupled transmission line 40 41 .MODEL MODEL_W002 W MODELTYPE=RLGC N=1 42 * Lo (H/m) 43 + LO = 44 + 3.59892E-007 45 46 * Co (F/m) 47 + CO = 48 + 1.39123E-010 49 50 * Ro (Ohm/m) 51 + RO = 52 + 4.4911 53 54 * Go (S/m) 55 + GO = 56 + 0 57 58 * Rs (Ohm/m-sqrt(Hz)) 59 + RS = 60 + 0.000578602 61 62 * Gd (S/m-Hz) 63 + GD = 64 + 2.78246E-012 65 66 67 ********************************* 68 * Single uncoupled transmission line 69 70 .MODEL MODEL_W008 W MODELTYPE=RLGC N=1 71 * Lo (H/m) 72 + LO = 73 + 3.59892E-007 74 75 * Co (F/m) 76 + CO = 77 + 1.39123E-010 78 79 * Ro (Ohm/m) 80 + RO = 81 + 4.4911 82 83 * Go (S/m) 84 + GO = 85 + 0 86 87 * Rs (Ohm/m-sqrt(Hz)) 88 + RS = 89 + 0.000578602 90 91 * Gd (S/m-Hz) 92 + GD = 93 + 2.78246E-012 94 95 96 ********************************* 97 * Single uncoupled transmission line 98 99 .MODEL MODEL_W010 W MODELTYPE=RLGC N=1 100 * Lo (H/m) 101 + LO = 102 + 3.59892E-007 103 104 * Co (F/m) 105 + CO = 106 + 1.39123E-010 107 108 * Ro (Ohm/m) 109 + RO = 110 + 4.4911 111 112 * Go (S/m) 113 + GO = 114 + 0 115 116 * Rs (Ohm/m-sqrt(Hz)) 117 + RS = 118 + 0.000578602 119 120 * Gd (S/m-Hz) 121 + GD = 122 + 2.78246E-012 123 124 125 ********************************* 126 * Single uncoupled transmission line 127 128 .MODEL MODEL_W016 W MODELTYPE=RLGC N=1 129 * Lo (H/m) 130 + LO = 131 + 3.59892E-007 132 133 * Co (F/m) 134 + CO = 135 + 1.39123E-010 136 137 * Ro (Ohm/m) 138 + RO = 139 + 4.4911 140 141 * Go (S/m) 142 + GO = 143 + 0 144 145 * Rs (Ohm/m-sqrt(Hz)) 146 + RS = 147 + 0.000578602 148 149 * Gd (S/m-Hz) 150 + GD = 151 + 2.78246E-012 152 153 ********************************* 154 * RLGC model created by HyperLynx SPICE generator 155 * 156 .MODEL COND_000 W MODELTYPE=RLGC N=2 157 * Lo (H/m) 158 + LO = 159 + 3.5972E-007 160 + 2.63282E-008 3.5972E-007 161 162 * Co (F/m) 163 + CO = 164 + 1.39939E-010 165 + -1.02423E-011 1.39939E-010 166 167 * Ro (Ohm/m) 168 + RO = 169 + 4.4911 170 + 0 4.4911 171 172 * Go (S/m) 173 + GO = 174 + 0 175 + 0 0 176 177 * Rs (Ohm/m-sqrt(Hz)) 178 + RS = 179 + 0.00106555 180 + 2.10618E-005 0.00106555 181 182 * Gd (S/m-Hz) 183 + GD = 184 + 1.75853E-011 185 + -1.28708E-012 1.75853E-011 186 187 ********************************* 188 189 ********************************* 190 * RLGC model created by HyperLynx SPICE generator 191 * 192 .MODEL COND_001 W MODELTYPE=RLGC N=2 193 * Lo (H/m) 194 + LO = 195 + 3.5972E-007 196 + 2.63128E-008 3.5972E-007 197 198 * Co (F/m) 199 + CO = 200 + 1.39938E-010 201 + -1.02362E-011 1.39938E-010 202 203 * Ro (Ohm/m) 204 + RO = 205 + 4.4911 206 + 0 4.4911 207 208 * Go (S/m) 209 + GO = 210 + 0 211 + 0 0 212 213 * Rs (Ohm/m-sqrt(Hz)) 214 + RS = 215 + 0.00106552 216 + 2.10544E-005 0.00106552 217 218 * Gd (S/m-Hz) 219 + GD = 220 + 1.75852E-011 221 + -1.28632E-012 1.75852E-011 222 223 ********************************* 224 225 ********************************* 226 * RLGC model created by HyperLynx SPICE generator 227 * 228 .MODEL COND_002 W MODELTYPE=RLGC N=2 229 * Lo (H/m) 230 + LO = 231 + 3.5972E-007 232 + 2.63282E-008 3.5972E-007 233 234 * Co (F/m) 235 + CO = 236 + 1.39939E-010 237 + -1.02423E-011 1.39939E-010 238 239 * Ro (Ohm/m) 240 + RO = 241 + 4.4911 242 + 0 4.4911 243 244 * Go (S/m) 245 + GO = 246 + 0 247 + 0 0 248 249 * Rs (Ohm/m-sqrt(Hz)) 250 + RS = 251 + 0.00106555 252 + 2.10618E-005 0.00106555 253 254 * Gd (S/m-Hz) 255 + GD = 256 + 1.75853E-011 257 + -1.28708E-012 1.75853E-011 258 259 ********************************* 260 261 ********************************* 262 * RLGC model created by HyperLynx SPICE generator 263 * 264 .MODEL COND_003 W MODELTYPE=RLGC N=2 265 * Lo (H/m) 266 + LO = 267 + 3.5972E-007 268 + 2.63282E-008 3.5972E-007 269 270 * Co (F/m) 271 + CO = 272 + 1.39939E-010 273 + -1.02423E-011 1.39939E-010 274 275 * Ro (Ohm/m) 276 + RO = 277 + 4.4911 278 + 0 4.4911 279 280 * Go (S/m) 281 + GO = 282 + 0 283 + 0 0 284 285 * Rs (Ohm/m-sqrt(Hz)) 286 + RS = 287 + 0.00106555 288 + 2.10618E-005 0.00106555 289 290 * Gd (S/m-Hz) 291 + GD = 292 + 1.75853E-011 293 + -1.28708E-012 1.75853E-011 294 295 ********************************* 296 297 ********************************* 298 * RLGC model created by HyperLynx SPICE generator 299 * 300 .MODEL COND_004 W MODELTYPE=RLGC N=2 301 * Lo (H/m) 302 + LO = 303 + 3.5972E-007 304 + 2.63282E-008 3.5972E-007 305 306 * Co (F/m) 307 + CO = 308 + 1.39939E-010 309 + -1.02423E-011 1.39939E-010 310 311 * Ro (Ohm/m) 312 + RO = 313 + 4.4911 314 + 0 4.4911 315 316 * Go (S/m) 317 + GO = 318 + 0 319 + 0 0 320 321 * Rs (Ohm/m-sqrt(Hz)) 322 + RS = 323 + 0.00106555 324 + 2.10618E-005 0.00106555 325 326 * Gd (S/m-Hz) 327 + GD = 328 + 1.75853E-011 329 + -1.28708E-012 1.75853E-011 330 331 ********************************* 332 333 334 **** End Transmission line models ******************* 335 336 .ENDS 106 107 108 * 109 .TRAN 5.2PS 100.02NS 110 .OPTION step=5.2e-012 111 112 113 *** Start inclusions 114 *** End inclusions 115 116 .PRINT TRAN V(3 ) 117 V126 3 126 0.0 118 .PRINT TRAN V(1 ) 119 V127 1 127 0.0 120 121 .END

End of file

***** 0 error(s). ***** 0 warning(s).

ERROR 702: SUBCKT "RX_ESD_TERM_N_COUPLE.XMN_ESDDIODE_VDDCDM": Undeclared subcircuit reference. Instance appeared near source line 14 ERROR 702: SUBCKT "RX_ESD_TERM_N_COUPLE.XMN_ESDDIODE_VSSCDM": Undeclared subcircuit reference. Instance appeared near source line 14 ERROR 702: SUBCKT "RX_1M_R.ANA_RPPO1RPOP": Undeclared subcircuit reference. Instance appeared near source line 12 ERROR 702: SUBCKT "RX_ESD_TERM_N_COUPLE.XMN_ESDDIODE_HBM": Undeclared subcircuit reference. Instance appeared near source line 14 ERROR 702: SUBCKT "RX_ESD_TERM_N_COUPLE.ANA_RPPO1RPOP": Undeclared subcircuit reference. Instance appeared near source line 14

***** GENERATION ...

***** 5 error(s).

***** 0 warning(s).

INFORMATION ABOUT COMPILATION

Memory space allocated (bytes): 551274

0 elements 0 nodes 0 input signals

Check your input netlist...

Job started at 11-Oct-2004 21:22:33 Job end at 11-Oct-2004 21:22:34

Run on Win

Reply to
Ravi
Loading thread data ...

Ravi,

Looks like a file is missing with some subcircuits in it.

The error messages are complaining that certain elements are missing from the simulation.

Check that all files are downloaded, present, and in the right place.

Aust> Hi,

SEARCH='D:\CKS_KNOWLEDGE\ROCKET-IO_KIT\SIS_KIT_V2P_V3.6\EXAMPLE\HYP_BP'

Reply to
Austin Lesea

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.