modelsim and rocketio

When doing behavioral simulation using modelsim 5.8b SE version for rocket io i am getting the warning as # No default binding for component 'gt_fibre_channel_4'(no entity gt_fibre_channel) was found.

and all the outputs of mgt are having the value 'U'.

Reply to
shalini
Loading thread data ...

A default binding means that the component AND the entity AND the instance code all use the same identifier 'gt_fibre_channel_4'

and all are compiled and in scope. A vhdl configuration is an alternative to a default binding. Good luck.

-- Mike Treseler

Reply to
Mike Treseler

Make sure you have set your smartmodel mappings in your modelsim.ini file (end of it). These mappings are used by Modelsim to find out were the compiled smartmodel library is located. Also have a look on the Xilinx website which contains lots of info on how to use RocketIO with Modelsim :-)

Hans

formatting link

Reply to
Hans

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.