Local nodes are not visible anymore after simulation (Altera Quartus II )

Hello,

I made a simulation by the means of a vwf-file.

If I want to see the simulation results of signals which are neither inputs nor output what do I have to do?

Is there a difference between registered signals and combinatorical signals?

Thanks a lot.

Best regards

A.Vazquez

Reply to
Vazquez
Loading thread data ...

Hi,

Subroto Datta has already answered this to me, not so long ago. (Date:

2003-10-09) Search google groups for 'Quartus II simulation question' Very clear answer.

Hope it helps, Christos

Reply to
Christos

Hi,

I made the following declaration in my toplevel-file:

attribute preserve_signal : boolean; attribute preserve_signal of l_data_to_send : signal is true; attribute opt : string; attribute opt of l_data_to_send : signal is "keep"; This is the VHDL declaration to preserve nodes when performing the synthesis. But when starting the simulation I get the error message: "Ignored node in vector source file. Can't find corresponding node name l_data_to_send in design.

What does go wrong?

Thanks a lot.

Best regards Andres Vazquez

Reply to
ALuPin

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.