ip core implementation on fpga

ERROR:PhysDesignRules:368 - The signal is incomplete. The signal is not driven by any source pin in the design. ERROR:PhysDesignRules:368 - The signal is incomplete. The signal is not driven by any source pin in the design. ERROR:PhysDesignRules:368 - The signal is incomplete. The signal is not driven by any source pin in the design. ERROR:PhysDesignRules:368 - The signal is incomplete. The signal is not driven by any source pin in the design. ERROR:PhysDesignRules:10 - The network is completely unrouted. ERROR:PhysDesignRules:10 - The network is completely unrouted. ERROR:PhysDesignRules:10 - The network is completely unrouted. ERROR:PhysDesignRules:10 - The network is completely unrouted. ERROR:Bitgen:25 - DRC detected 8 errors and 5 warnings. Please see the previously displayed individual error or warning messages for more details.

these are the errors i am getting while i am trying to run the ip core of multiplication on spartan 3e.its giving me output on ism(behavioral simulation) but giving these errors while i am trying to run it througf fpga.can someone help??

Reply to
deepak
Loading thread data ...

is

is

is

is

multiplication on spartan 3e.its giving me output on ism(behavioral simulation)

help??

Apparently you have a top level output port p[3:0] that is not ever driven by the design. In older versions of ISE, any undriven output was tied to ground. Given that in a real piece of hardware, unintentionally undriven outputs could cause board-level problems if arbitrarily connected to ground, newer versions of ISE leave them unrouted and then you will fail design rule check (DRC) when you go to create the project. You need to either connect this output port to something in the design, or remove it.

-- Gabor

Reply to
GaborSzakacs

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.