Improvement on the modular design methodology...

When I put some constraints of sub-modules into the top-level UCF file, (same file as the one previously I used to synthesize a full fixed chip), the ISE6.1.03 will complain for errors in NGDBuild...It is better if the software could take care of this instead of painstakingly modifying the UCF file in each subdirectory...

Kelvin

Reply to
kelvin8157
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.