How to use the library in VHDL (ISE)?

I add a library in my VHLD code(ISE 6.3 but the system tell me that "the library can't be found

Thank

Reply to
Eaglet_bff
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.