generic

Hi

I want to make my design generic but I got a problem I have a kinf of counter and i want it generic in fonction of the reset value of the counter ex:

signal cpt : std_logic_vector(A downto 0);

if ( unsigned(cpt) = B) then cpt '0'); else cpt

Reply to
KCL
Loading thread data ...

Yes you can make it generic, assuming that B is a constant

I have removed A, and changed B and cpt to naturals. When this i

synthesized, the synthesizer will change this to an n-bit counter where n is log2(B)

generi

B : natura )

..

signal cpt : natural range 0 to B

if (cpt = B) the

cpt

Reply to
pdq

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.