Hi All,
I am having problem when interfacing T-VPACK
I have a 'test4.vhd' file: --------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL;
entity test4 is port ( a : in std_logic_vector(4 downto 0); b : in std_logic_vector(4 downto 0); c : out std_logic_vector(4 downto 0)); end test4;
architecture test4_arch of test4 is begin
c