cannot be synthesized, bad synchronous description

In connection with "Create Timing Constraints" the error ERROR:Xst:827 occurs.

We have the following fragment in our program:

--------------------------------- process (ARMFPGA1, FRAME_VALID, ARMFPGA3) begin if (ARMFPGA1='1' and ARMFPGA1'event) then -- state=1 when rising_edge(ARMFPGA1) state

Reply to
Ingenrepons
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.