process trouble, error: multi source

Hi,

I want to set the load input for a counter to 1 and set it at the next clk automatically back to 0. But I get this error: ERROR:Xst:528 - Multi-source in Unit on signal Sources are: Signal in Unit is assigned to GND

this is my code:

a1: process (state) -- output-routine of a state machine begin if state = init1 then load

Reply to
Benjamin Menküc
Loading thread data ...

You cannot assign values to the same signal in two different process's as they are evaluated concurrently. Change your code to evaluate the "load" signal in the same process.

Reply to
Praveen

Well - the reason you can't do that is that you can't have multiple processes writing to the same signal in VHDL (Unless of course you have a tristate bus) - both these processes are executed in parallel.

One way (in pure language terms) to express what you are trying to express is:

a: process(state,clk) begin if state = init1 then load

Reply to
Jeremy Stringer

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.