Re: Xlilin xc9572XL Default register values

000007030607090403000609 Content-Type: text/plain; charset=us-ascii; format=flowed Content-Transfer-Encoding: 7bit

Ralph,

Sorry for the delay in my response. I tried the code below with ISE

5.2i sp3 targeting a 9572:

library IEEE; use IEEE.STD_LOGIC_1164.ALL;

entity inits is Port ( d : in std_logic; c : in std_logic; q : out std_logic); end inits;

architecture inits_arch of inits is

signal q_temp : std_logic := '1';

begin

process (c) is begin if c'event and c = '1' then

q_temp "11111");

begin

process (c) is begin if c'event and c = '1' then

q_temp >Ralph,

> >>Another method (aside from passing an INIT) is to initialize the signal >>that will be registered: >> >>library ieee; >>ues ieee.std_logic_1164.all; >> >>entity ff is >>port (d, c : in std_logic; >> q : out std_logic); >>end entity; >> >>architecture ff_arch of ff is >>signal q_temp : std_logic := '0';
Reply to
Steven Elzinga
Loading thread data ...

"11111");

I don't really have allot of time to spend with this now (it's working fine with the constraints file).

I will say however, that when the record initisation didn't work I tried initializing another 16 bit register in the design using the same method:

signal latchedAddress : std_logic_vector(15 downto 0) := "1010101010101010";

This didn't work either. Perhaps 5.1 is to old

Thanks for the help.

Regards Ralph

Reply to
Ralph Mason

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.