How to make Altera-Modelsim free download version to work?

Hi Mike,

I am so happy to have your post: The reason "Why not use the Windows executables" is that I don't know its existence!!!

I will immediately download it and try it. I will fully focus on using GHDL and debugging all my VHDL code, and will soon share any experiences with GHDL I will have.

It is really a happy ending for my post.

Thank you everybody for responding to my post.

Weng

Reply to
Weng Tianxiang
Loading thread data ...

Hi,

Help is needed.

I download from

formatting link
Windows X86 (MinGW64), LLVM, 12.7MB, ghdl-0.35-mingw64-llvm.zip

I extract all files, that generates 2 bin file as application: ghdl1-llvm and ghdl. I didn't see install.exe. I clicked either of them, no application was running.

Thank you.

Weng

Reply to
Weng Tianxiang

Did you run the executable linked from the URL in my earlier post?

formatting link

From: Binary distributions GHDL for Windows

Since May 2006, there is a pre-built version of windows. This is a command-line only version, almost like the Linux version. You can download the installer, and execute it to install GHDL.

--
Mike Perkins 
Video Solutions Ltd 
www.videosolutions.ltd.uk
Reply to
Mike Perkins

Hi Mike,

I downloaded ghdl-installer-0.29.1, and run it again. A window was popped up, saying: You already have GHDL 0.29.1 installed. Deinstall?

What should I do?

Weng

Reply to
Weng Tianxiang

It depends on your goals which I can only speculate about. But here are a few directions you can go based on different assumptions...

On the assumption that you installed GHDL for the purposes of running the GHDL program to process some VHDL design, I would normally suggest that you run the GHDL program. But since you seem to require step by step instructions,

- Read the documentation for how to run the GHDL program

- Explore your hard disk to see where the GHDL program has been stored

- Find the location on your hard disk where you have stored your VHDL file(s)

- Using your knowledge gained from the previous steps, run the GHDL program on your VHDL files

On the assumption that you installed GHDL for the purposes of having someone else do something, then hire someone to do the work.

On the assumption that you installed GHDL for no purpose at all I would suggest you uninstall the program to free up the disk space.

On the assumption that you installed GHDL for the purposes of filling up your disk, I would suggest you format your hard drive.

Good luck!

Kevin

Reply to
KJ

Kevin,

I even don't see any text file showing up after my download, not mention "Read the documentation for how to run the GHDL program"

No GHDL application start to work, how do I start debugging with it.

Mike,

Please help.

I download from

formatting link
Windows X86 (MinGW64), LLVM, 12.7MB, ghdl-0.35-mingw64-llvm.zip

I extract all files, that generates 2 bin file as application: ghdl1-llvm and ghdl. I didn't see install.exe. I clicked either of them, no application was running.

I downloaded ghdl-installer-0.29.1, and run it again. A window was popped up, saying: You already have GHDL 0.29.1 installed. Deinstall?

What should I do?

Thank you.

Weng

Reply to
Weng Tianxiang

Does Google not work for you? Maybe try

formatting link
and read from some of the more interesting links that you can find there.

Kevin

Reply to
KJ

Hi Kevin,

This method really helps me. Sometimes I really need people's help, this time you really give me help. There are huge information there to teach me how to start GHDL.

Of cause I will make contribution to further improve its quality and share my experiences with other people here.

Than you.

Weng

Reply to
Weng Tianxiang

Hi,

Here is the latest version of GHDL document published minutes ago:

formatting link

GHDL Documentation

Release 0.36-dev

Tristan Gingold

Dec 15, 2018

Weng

Reply to
Weng Tianxiang

It is the latest INFORMATION of GHDL:

The current version of GHDL does not contain any graphical viewer: you cannot see signal waves.

You can still check the behavior of your design with a test bench.

Moreover, the current version can produce a GHW, VCD or FST files which can be viewed with a waveform viewer, such as GtkWave.

GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, the latest, 2008. PSL is also partially supported.

Several third party projects are supported: VUnit, OSVVM, cocotb (through the VPI interface), . . .

Now I know why GHDL is not populous, the basic reason is it has no waveform viewer!

But for a free version, it still has its values:

  1. It can be used to correct all VHDL grammar errors, playing the same rule as Modelsim does.

  1. It can be used to test a pre-issued product playing the same rule as Modelsim does, but it should be faster than Modelsim PE or SE.

  2. At least it provides files to communicate with third party software to view the waveform.

I will be comfortable with this method: As an experienced VHDL designer, I spent most of time running Modelsim until an error showed up. The speed is very important factor to get all bugs discovered.

Weng

Reply to
Weng Tianxiang

On 15/12/2018 16:07, Weng Tianxiang wrote: ..

This is really good as none of the restricted free commercial versions supports PSL.

Build-in waveform viewer, but I agree with you this is a major obstacle. The waveform display is the number one debugging window. It doesn't matter if you design an AND gate or the latest billion gate machine learning chip the waveform window is always king. For this reason EDA vendors have added all sorts of enhancements such as virtual signals/functions, transaction display, analogue display, multiple panes, group/combine functions, scripting etc. GHW+Sigasi improves the situation but it is still not as smooth or capable as the free restricted Modelsim or ActiveHDL versions.

Definitely, I hope GHDL will be the first simulator to fully support the

2018 standard.

Hum? why should it be faster? I give all kudos to Tristan (et al.) as he wrote an amazing piece of software but it is definitely not faster than Modelsim. I ran two of my test cases again to see if anything has changed and GHDL was still 6x slower than Modelsim 10.7c. This is no surprise as Mentor has an army of engineers trying to squeeze the last fs from the simulation time. Modelsim SE has been obsolete for at least 8 years (replaced by Questa).

Hans

formatting link

Reply to
HT-Lab

Hi Hans,

I appreciate your sharing experiences with us.

I desplayed Youtube "Getting Started With VHDL on Windows (GHDL & GTKWave)"

formatting link

I asked one Modelsim seller who offers to sell Modelsim DE.

Do you have any experiences with different versions of Modelsim and their prices?

It seems to me that buying a Modelsim perpetual use of PE, or other advanced version may be my best option.

Thank you.

Weng

Reply to
Weng Tianxiang

Nice tutorial although I would forget about the VCD format as it is not well suited for VHDL. There is e.g. no support for enumerated types (I suspect GTKwave will allow you to add them afterwards with some virtual functions). If you want to use GHDL use GHW+GTKWave together with Sigasi:

formatting link

Have a look at this comparison chart:

formatting link

The main difference is that Modelsim DE will give you full support for PSL/SVA which is great for functional verification. You also get access to the FLI (VHDL C/C++ I/F) and full 64bits Windows support to name a few. If you don't need any of these just ask for Modelsim PE.

If you need it for commercial work then yes Modelsim is a great product. For personal use it is too expensive. Intel has a $1995 version (for Intel FPGA's only) but I think it is for 1 year only (non perpetual) and has lower performance than Modelsim.

Good luck, Hans

formatting link

Reply to
HT-Lab

cannot see signal waves.

can be viewed with a waveform viewer, such as GtkWave.

1987, 1993 and 2002 revisions and, partially, the latest, 2008. PSL is als o partially supported.

gh the VPI interface), . . .

form viewer!

rule as Modelsim does.

Modelsim does, but it should be faster than Modelsim PE or SE.

It could be faster than Modelsim because Modelsim is intentionally crippled unless you pay for the fastest version. So if GHDL picks up the same sort s of speed enhancements as commercial packages it won't require that the hi gher speeds be enabled.

Personally I wouldn't know. I use the ActiveHDL that comes free with Latti ce tools. I gave up paying for this sort of software. I didn't feel I was getting anything for my money. Support is not good. It seems most suppor t comes from the community, in both commercial and open source packages. S o why pay for commercial stuff?

Rick C.

- Get 6 months of free supercharging - Tesla referral code -

formatting link

Reply to
gnuarm.deletethisbit

..

True, but Modelsim's OEM versions are about 40% of the speed of Modelsim which means they are still faster than GHDL (base on my limited testing). Of course this is true until you reach the instance limit in which case Modelsim OEM grinds to a halt. It would be great if GHDL was faster than Modelsim as for some of my designs I don't need to log any signals, just sockets I/O.

How can GHDL pick up the same sorts of speed enhancements? I am sure all EDA vendors keep their optimisers a close secret.

I do.

Right and that version is not crippled?

for you...., doesn't mean it is bad for everybody.

Because if you work on a commercial product and you find an issue you want to pick up the phone and get help immediately. They are required to help you as you are paying expensive maintenance, if they don't help you you switch products. Also for a complex product you can not rely on the free versions as they are too limited in their capabilities. I guess you are happy with the free ActiveHDL version as your designs are not that large.

Regards, Hans.

formatting link

Reply to
HT-Lab

My use of the free tools is post having paid for tools and gotten a lot less than I would have liked.

What gets support is buying lots of chips, not support dollars. Support dollars are chump change in the budget of the chip makers.

Rick C.

-- Get 6 months of free supercharging -- Tesla referral code -

formatting link

Reply to
gnuarm.deletethisbit

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.