Xilinx ngdbuild question

Hi, I use Coregen (CORE Generator of Xilinx) to generate a fifo and I get .ngc. How do I specify this in ngdbuild command line? I tried to use " -l myFifo.ngc", but I got the following error

ERROR:NgdBuild:604 - logical block 'rtl/ddr_async_interface/myFifo' with type 'myFifo' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, or the misspelling of a type name. Symbol 'myFifo' is not supported in target 'virtex5'.

Any help is appreciated.

Thanks

Reply to
Kuo
Loading thread data ...

Kuo,

There is no need to use the -l switch for CoreGen. If the .ngc resides in the main project directory, you do not need to do anything (in terms of command line options). If the .ngc resides elsewhere you need to specify the -sd option. The primary reason for a NgdBuild:604 error is because the core is seen as a black box in synthesis and the netlist is required in this implementation phase. By default, NgdBuild looks in the main project directory for the netlist. If the netlist is not there it needs to know where it resides and this can be done by the -sd switch or if using the GUI in the properties box for NgdBuild (set macro search path).

-David

Reply to
davide

You have to specify the macro search path with the "-sd" option on the command line. That's the path where ngdbuild is supposed to look for netlists. You can also specify multiple paths like this "-sd path1

-sd path2"

HTH, Sean

--
My email address is only valid until the end of the month.
Try figuring out what the address is going to be after that...
Reply to
Sean Durkin

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.