VCD file generation

HI, I want to generate VCD file for my VHDL file. I am using modelsim

5.6f. If anybody knows the commands which we have to run to get VCD file please mail those. thanking you all.
Reply to
sunil
Loading thread data ...

If you have a license that allows Verilog + VHDL co-simulation, you can add this to a Verilog module somewhere:

initial begin $dumpfile("myfile.vcd"); $dumpvars(1, mytopinstancename ); $dumpon; end

Regards, Allan.

Reply to
Allan Herriman

After "vsim" command use following commands to create a VCD file vcd file file_name.vcd vcd add -r * run -all

"vcd file" command creates a vcd file and "vcd add -r *" logs all signals in the design. Refer Modelsim manual for further description and examples.

-Vikram

Reply to
Vikram Pasham

I don't know for Modelsim 5.6, but in 5.7: open Modelsim, do "Help" -> "SE PDF Documentation" -> "Command Reference", and look in the index under "VCD Files". There are multiple commands that can be used from a TCL script or the Modelsim command line to create VCD files and add signals to them.

--
Pierre-Olivier

-- to email me directly, remove all _N0SP4M_ from my address --
Reply to
PO Laprise

HI, Thanks to all who gave a response. Actually i need the VCD file for power calculation. So, please tell me whther i have to simulate with the correct data(clk frequnecy depends on the max.frequency allowed) or not for generating VCD file.

Reply to
sunil

Your estimate is likely to be no better than what you give the tool to work with. Xpower will give an estimate based on activity rates you provide--either default, or manually, or via vcd. Your simulation should reflect what you would want to measure.

Jason

Reply to
jtw

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.