Task in verilog

Is task in verilog equivalent to procedure in VHDL? I am trying to convert a verilog file to vhdl.

Verilog =>

// string data type reg [8*4:1]a; reg [8*255:0]b;

VHDL =>

Is the above equivalent to variable a : string(1 to 8*4) variable b : string(1 to 8*255)

Reply to
FPGA
Loading thread data ...

Just a thought..: variable b : string(0 to 8*255)

Reply to
sky465nm

Unfortunatly not. String has a range that uses positive instead of integer, so must always be 1 to somthing. You cant use downto either.

Reply to
Tricky

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.