Re: Quartus produces wrong parameters for Stratix PLL

> > Following PLL was generated with MegaWizard Plug In Manager and

> > > compiled (for Stratix) under Quartus 2.2: > > > Input Frequency: 36MHz > > > Dynamic reconfiguration is in use. > > > c0 Clock Multiplication Factor = 158 > > > c0 Clock Division Factor = 36 > > > Other counters are not in use. > > > The compilation report shows: > > > M value = 79 > > > N value = 3 > > > VCO frequency = 948MHz !!!! > > > It looks like Quartus design team is not aware of limitations of the > > > Stratix PLL as listed in the respective datasheet (300 to 800MHz for > > > -5 and -6 grades, 300 to 600MHz for -7 grade). They live under > > > impression that everything up to 1000MHz is o.k. :( > > The Stratix Fast PLL can go up to 1GHz for certain speedgrades, which > > is why the Megawizard allows this (only the Enhanced PLL is limited to > > 800Mhz). A design that needs a VCO at 1GHz will work in Stratix. The > > PLL will then be placed on the Fast PLL and be used as a general > > purpose PLL. However a Fast PLL cannot be used for dynamic > > reconfiguration, and this should have been reported during fitting. > > > > For Quartus II version 3.0, the Megawizard has been enhanced to > > recognize that only an Enhanced PLL can be used when dynamic > > reconfiguration is selected, and as a result it will ensure that the > > VCO is valid for an Enhanced PLL in the Megawizard itself. The > > Megawizard will become speedgrade aware in a future release of > > Quartus. In the meantime all calculations are based on the fastest > > speedgrade. > > > > - Subroto Datta > > Altera Corp. > > > > > > I don't have Quartus II version 3.0 (BTW, is it already available ?) > so can't comment about it. What I do know - Quartus II version 2.2 > Megawizard doesn't emit "enhanced" set of PLL parameters, so the > Megawizard has no direct control of the VCO frequency. Unless it was > changed in the 3.0, I can't see how improvements in the Megawizard > would fix the problem. IMHO, the bug is in the compiler and it's where > it should be fixed. > In the mean time, the only reliable solution I can think of is: > 1. Don't use the Megawizard. > 2. Manually set enhanced parameters for the altpll(). > It would work, of coarse, but it's a PITA... > > Regards, > Michael

In Stratix devices there are two types of PLLs - Enhanced PLLs and Fast PLLs. The Megawizard performs a feasibility check to make sure the resulting parameters the compiler will compute (including the VCO frequency) will be valid for at least one of these PLL types. For Quartus II 3.0, the Megawizard is aware of the restriction that forces the use of Enhanced PLLs when using reconfiguration, and as a result will make sure all parameters can be implemented in an Enhanced PLL earlier on in the flow.

But even in Quartus II 2.2, the compiler will give an error if the PLL cannot be implemented in either of the PLL types, including if no set of internal parameters could achieve the requested PLL settings and a legal VCO for the speed grade selected. If a legal set of internal parameters did exist that could achieve the requested PLL settings, then the compiler will implement those parameters.

Quartus II 3.0 was released to production/manufacturing on June 27th so you should be seeing it real soon.

- Subroto Datta Altera Corp.

Reply to
Subroto Datta
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.