Quartus II Command Line and Project Files

Hi,

I have a Verilog project created through the Quartus II 4.1 GUI under Windows. I am trying to build it (analyze, synthesize, fit, etc) using the Windows command-line quartus_ tools.

Is it possible to reuse the project files such as qpf and qsf with the quartus_map, quartus_fit, etc tools? I don't see any way to pass them in.

Do I have to figure out the command line equivalents for every setting in each file's corresponding qsf?

Would it be easier to generate a TCL script from the GUI and then use the quartus_sh TCL interpreter instead?

I am looking for the fastest way to do this.

Thank you,

Vladan

Reply to
Vladan
Loading thread data ...

Hi,

I have a Verilog project created through the Quartus II 4.1 GUI under Windows. I am trying to build it (analyze, synthesize, fit, etc) using the Windows command-line quartus_ tools.

Is it possible to reuse the project files such as qpf and qsf with the quartus_map, quartus_fit, etc tools? I don't see any way to pass them in.

Do I have to figure out the command line equivalents for every setting in each file's corresponding qsf?

Would it be easier to generate a TCL script from the GUI and then use the quartus_sh TCL interpreter instead?

I am looking for the fastest way to do this.

Thank you,

Vladan

P.S.

I apologize if this question appears twice, the post did not appear on my newsgroup server after I submitted it initially.

Reply to
Vladan

I hate to reply to my own posts, but I think I may have found a solution, so I'll post it here for the sake of completeness.

The following command will do a full compilation while taking into account the project files generated by the GUI: quartus_sh --flow compile -c

Reply to
vladan2005

Hi Vladan,

Another very useful resource for help on a) command line scripting (i.e. passing arguments to the various executables on the command line, as well as b) procedural scripting (i.e. using Tcl commands for interpretation by the executables Tcl interpreter) can be found at

formatting link

If you need finer control it is possible to call quartus_map, quartus_fit, quartus_tan, quartus_asm, quartus_pow, quartus_eda and quartus_sim separately with the appropriate options. The document referred to in the URL covers the options in full detail.

Hope this helps,

- Subroto Datta Altera Corp.

Reply to
Subroto Datta

Create a tcl file. Then call Quartus on the command line mode. Read the documentation. Also check teh example Chip trip I believe, has an example tcl file. Bacically, it defines the project name, and any options you set. Such as fpga family and device. It'll use any schematic, vhdl, vhd files in the project. Much better that Xilinx. You can also use the Error Level to trap any errors if you call it from a Batch file.

You can also call the programmer this way also.

Cheers

Reply to
Martin Riddle

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.