MicroBlaze & top module?

Hello, I have defined some signals which I plan to change dinamicaly with MicroBlaze as submodule. Signals are organized as arrays and I will use one index and one data port to access them (like PicoBlaze IO ports).

What is the best way of interfacing these signals between the MicroBlaze and the top level?

Reply to
krassi
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.