iVerilog / VVP output to GTKwave.

nextPart1422611.stSyJimiRn Content-Type: text/plain; charset=utf-8 Content-Transfer-Encoding: 8Bit

Hi All.

I have a test routine that successfully compiles in iVerilog. I process my verilog source as follows:

/usr/local/bin/iverilog -Wall -g2 -tvvp -o./test.sim ./test; /usr/local/bin/vvp ./test.sim -lxt2

Now I have the lxt2 formated output in the file ./test.sim

My question is:

How go I get GTKwave to accept and display this output? Every time I click GTKwave->File->Open the program just aborts and exits. I have tried without success cut & pasting too.

Can anyone kindly offer some assistance please?

Any assistance greatly appreciated.

Reply to
Grahame Kelly
Loading thread data ...

There are different versions of GTKwave available. I recommend trying

1.3.72 from here:
formatting link

Regards, Allan

Reply to
allanherriman

Grahame Kelly schrieb: ...

The ./test.sim is not the lxt2 file. It is the compiled verilog code the simulator uses.

You need to specify the lxt2 file inside of your verilog code and what variables should be put in it.

Look for the system function $dumpfile and $dumpvars from the verilog languange.

Something like this would dump all your variables to the file test.lxt:

initial begin

$dumpfile("test.lxt"); $dumpvars;

end

Then you can open it with:

gtkwave test.lxt

You might also consider starting it from command line. Then you see any error message it produced.

Hope that helps.

Guenter

Reply to
Guenter

snipped-for-privacy@hotmail.com wrote: -------------

Much thanks to you, Guenter and Allan. I did as you both advised, and GTKwave is now running wonderfully with the iverilog, vvp output as expected. I especially appreciate you both giving me examples to follow.

------------- Again much thanks guys. Cheers Grahame

Reply to
Grahame Kelly

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.