to view vhdl variable with gtkwave

Hello,

When can i see vhdl variable with gtkwave.

Currently i use three command inside cygwin: ghdl -a --ieee=synopsys -fexplicit --std=93 bench.vhd ghdl -c --ieee=synopsys -fexplicit --std=93 -r bench --stop- time=7000ns --wave=bench.ghw gtkwave bench.ghw a.sav

I can't see all vhdl variable.

Thank for you help

Reply to
picnanard
Loading thread data ...

try

--disp-tree=proc

Reply to
Mike Treseler

Thank for you help but where does I set this option? inside ghdl -a line or ghdl -c line

Reply to
picnanard

i find where i can place it ghdl -c --ieee=synopsys -fexplicit --std=93 -r bench --disp-tree=proc

--stop-time=7000ns --wave=bench.ghw this option don't allow see variable.

Reply to
picnanard

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.