VCD file doesn't show anything in GtkWave

Hi:

I have used Icarus Verilog 0.8.4 on Suse Linux 9.1 to create a .vcd file from a testbench. The .vcd file is shown below.

I also installed GtkWave 3.0.29 and used the command:

gtkwave dual-fps.vcd

to attempt to display the waveforms from my .vcd file.

GtkWave opens with a nice window and a time axis, but no waveforms. It has a text box which states:

VCD loaded successfully. [12] facilities found. Regions formed on demand.

And another graphic box which is labeled "signals" which shows "time" but not any of the other signals.

Is there something wrong with my .vcd file, or Gtkwave?

Perhaps if someone can make the .vcd file display in their viewer, or alternately send me a .vcd file they know works in GtkWave, I can figure out which end the problem is on.

Thanks.

----------------------------------- dual-fps.vcd:

$date Mon Jul 23 15:30:30 2007 $end $version Icarus Verilog $end $timescale 1s $end $scope module test $end $var wire 1 ! fps1 $end $var wire 1 " fps2 $end $var wire 1 # mod1 $end $var wire 1 $ mod2 $end $var reg 1 % tim $end $var reg 1 & trig $end $scope module DFM1 $end $var wire 1 $ Mod2 $end $var wire 1 % Timer $end $var wire 1 & Trig $end $var reg 1 ! FPS1 $end $var reg 1 " FPS2 $end $var reg 1 # Mod1 $end $upscope $end $upscope $end $enddefinitions $end #0 $dumpvars

0& 0% 1$ 0# 0" 0! $end #5 1! 1& #6 1% #10 0& #15 1" 0! 1& #20 0& #25 0$ 1# 0" 1& #30 0& #35 1$ 0# 1& #40 0& #45 0$ 1# 1& #50 0& #55 1$ 0# 1& #60 0& #65 0$ 1# 1& #70 0& #80 0% #85 1" 1& #86 1% #90 0& #95 1! 0" 1& #100 0& #105 1$ 0# 0! 1& #110 0& #115 0$ 1# 1& #120 0& #125 1$ 0# 1& #130 0& #135 0$ 1# 1& #140 0& #145 1$ 0# 1& #150 0& #165 0$ 1# 1& #170 0&
--
Good day!

________________________________________
Christopher R. Carlen
Principal Laser&Electronics Technologist
Sandia National Laboratories CA USA
crcarleRemoveThis@BOGUSsandia.gov
NOTE, delete texts: "RemoveThis" and
"BOGUS" from email address to reply.
Reply to
Chris Carlen
Loading thread data ...

Did you try to:

click on the + in the SST window click on DFM1 select all the signal names which appered in the Signals window click Insert

Looks fine in gtkwave on my machine.

Petter

--
A: Because it messes up the order in which people normally read text.
Q: Why is top-posting such a bad thing?
A: Top-posting.
Q: What is the most annoying thing on usenet and in e-mail?
Reply to
Petter Gustad

Thanks for the reply.

What is the SST window? Do you mean the zoom-in?

There is no reference to DFM1 in the GtkWave window.

Interesting. Windows or Linux? Perhaps I will test a Windows version...

--
Good day!

________________________________________
Christopher R. Carlen
Principal Laser&Electronics Technologist
Sandia National Laboratories CA USA
crcarleRemoveThis@BOGUSsandia.gov
NOTE, delete texts: "RemoveThis" and
"BOGUS" from email address to reply.
Reply to
Chris Carlen

Let's get some layout decided. At the top left there is a window which says "VCD loaded succesfully[12] facilities..." right? You're also seeing a window which has a title "Signals" and the content "Time" at this point from what you're describing. Now below "VCD loaded ..." window and to the left of "Signals" window, you should see a window which has SST in its title with a '+' to the left of SST string. If you see this click on the '+' and you should see your hierarchy. If you don't see the SST window, it's possible that your GTK+ setup is broken and you don't the right GTK+ installed.

Reply to
mk

Another option might be Dinotrace...

--
Uwe Bonnes                bon@elektron.ikp.physik.tu-darmstadt.de

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
--------- Tel. 06151 162516 -------- Fax. 06151 164321 ----------
Reply to
Uwe Bonnes

Linux.

Petter

-- A: Because it messes up the order in which people normally read text. Q: Why is top-posting such a bad thing? A: Top-posting. Q: What is the most annoying thing on usenet and in e-mail?

Reply to
Petter Gustad

Hi, and thanks for the reply!

  1. I have the '"VCD loaded succesfully[12] facilities..."'
  2. I have the '"Signals" and the content "Time"'

But,

I don't see this!

I think the conclusion is that my GTK+ might be screwed. This Suse Linux has been known to have a shoddy GNOME installation at times. So perhaps the libs are just old, or Suse tinkered with them and broke it.

Thanks for the help.

It isn't worth any more time at this point. I may try Windows, or may just live with the text output from the Icarus simulator (which is usable).

I'll try GtkWave again once I have a chance to update Linux.

--
Good day!

________________________________________
Christopher R. Carlen
Principal Laser&Electronics Technologist
Sandia National Laboratories CA USA
crcarleRemoveThis@BOGUSsandia.gov
NOTE, delete texts: "RemoveThis" and
"BOGUS" from email address to reply.
Reply to
Chris Carlen

Wow, that looks ancient! Haven't used any raw X apps in a while.

I'll give it a shot...

-- Good day!

________________________________________ Christopher R. Carlen Principal Laser&Electronics Technologist Sandia National Laboratories CA USA snipped-for-privacy@BOGUSsandia.gov NOTE, delete texts: "RemoveThis" and "BOGUS" from email address to reply.

Reply to
Chris Carlen

My version of GtkWave (3.81) doesn't show the Signal Search Tree (SST) window by default either. You can bring it up by selecting Signal Search Tree from the Search menu. Or you can use the shortcut Shift- Alt-T.

When using GtkWave, I can't show up vhdl signals created from enumerated types such as state vectors. I'm think older versions of GtkWave did show enumerated types, but I'm not sure. Anyone else had this problem?

Reply to
davem

Jeez. That's about the one button in the whole program I hadn't pushed.

Now I can see waves. Thanks!

--
Good day!

________________________________________
Christopher R. Carlen
Principal Laser&Electronics Technologist
Sandia National Laboratories CA USA
crcarleRemoveThis@BOGUSsandia.gov
NOTE, delete texts: "RemoveThis" and
"BOGUS" from email address to reply.
Reply to
Chris Carlen

1) Upgrade to the 3.x series. The latest is 3.0.30. 2) Make sure you are compiling against gtk v2.8 or higher. The collapsing widget needed for the integrated tree doesn't exist in earlier versions of the toolkit.

show enumerated types, but I'm not sure. Anyone else had

Nothing changed in the viewer; perhaps the dumper in your vhdl simulator did. To see enumerated types your dumper needs to support it (e.g., using the "s" gtkwave extension for VCD) or you need to use an external process/file filter that converts values to text strings. See the pdf user manual for specifics.

-t

Reply to
bybell

After downloading and compiling the latest version of gtkwave, I see the SST widget now. Previously I was using the current stable debian package version of gtkwave, which often isn't the latest.

ofGtkWavedid show enumerated types, but I'm not sure. Anyone else had

I think your right, the problem is likely related to the older version of ghdl (the vhdl simulator I am using) which again is the debian stable version. Converting the enumerated types to strings sounds like a good idea. Thanks for the heads up, I will take a glance at the gtkwave user manual.

Dave

Reply to
davem

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.