Intel ModelSim Starter Edition is available free now!

Hi, Intel ModelSim Starter Edition is available free now!

formatting link

10,000 line code limit, VHDL-2002 version, running speed is very very slow, but it is enough for debugging grammars. It needs to take 3 hours to download Starter Edition part 1 and part 2 of 7.3G, regardless of how your download speed is.

Weng

Reply to
W TX
Loading thread data ...

Hi Weng,

The starter edition has always been free, this is not the same as the FPGA edition which cost $1995.

It also supports VHDL2008. The speed is about 40% of the full Modelsim PE/DE edition until you hit the instance limit then the simulation grinds to a halt (1% speed of PE/DE).

There are other OEM releases which might give you some extra capacity if you don't care about the vendor libraries, check out the Lattice and MicroChip versions.

Hans

formatting link

Reply to
HT-Lab

Hi Hans,

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.

I don't care about the vendor library. What their enhancement is?

Weng

Reply to
W TX

..snip

Hi Weng

There is documentation ;-)

vcom -help all vcom -2008

You need these if you want to instantiate primitives(buffer, PLL,..) in your design and if you want to use pre-generated optimised IP block (FIFO, Cordic,..).

,

Regards, Hans.

formatting link

Reply to
HT-Lab

Hi Hans, Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng

Reply to
Tianxiang Weng

be used for VHDL-2008, it will be great! Your information has great value t o me.

e any command lines. Your information is of great value to me.

to show 2008 features (an output port cannot be used internally.

mmand lines for me.

Hi Hans,

I changed the file parameter from VHDL93 = 2002 to VHDL93 = 2008 at *.m pf, it still shows errors related to VHDL-2008: cannot read output port "Er ror_O". I used the feather of 2008 to test if I can use 2008. It seems tha t Starter Edition has shut down the 2008 functions.

I think you may not really use Starter Edition once. It is natural for the Starter Edition not to include 2008 advanced features.

I tried to change another feature at *mpf file:

; Show source line containing error. Default is off. Show_source = 1

After the change, It still does not show the source code.

I am waiting for your answer. I write all my code in VHDL-2008, and I spent the last several days changing it back to VHDL-2002, and the work is half- finished now. It seems to me that I have to continue my coding in agreement with VHDL-2002.

Thank you.

Weng

Reply to
Tianxiang Weng

n be used for VHDL-2008, it will be great! Your information has great value to me.

in

use any command lines. Your information is of great value to me.

d to show 2008 features (an output port cannot be used internally.

command lines for me.

.mpf, it still shows errors related to VHDL-2008: cannot read output port " Error_O". I used the feather of 2008 to test if I can use 2008. It seems th at Starter Edition has shut down the 2008 functions.

e Starter Edition not to include 2008 advanced features.

nt the last several days changing it back to VHDL-2002, and the work is hal f-finished now. It seems to me that I have to continue my coding in agreeme nt with VHDL-2002.

Sorry,

I found an error in my last post:

I changed another feature at *mpf file: Show source line containing error. Default is off.

After the change, It does show the source code.

Weng

Reply to
Tianxiang Weng

On 25/05/2021 06:18, Tianxiang Weng wrote: ..

Hi Weng,

VHDL2008 is definitely supported. The OEM versions are restricted in speed and lack some advance features like Code Coverage, Waveform compare, assertions etc but the language support is the same as the full version.

If I may give you some advice, ditch the project option in Modelsim as it will start to work against you at some time....now. Just create a .do or .tcl file and you will get much better control and you can see exactly what is happening.

Good luck,

Hans

formatting link

Reply to
HT-Lab

Hans, I copy the file generated by the command vcom -help all.

I search "do", 18 hits, there is no do file format; I searched "tcl", there is no-hit.

What should I do?

Reply to
Tianxiang Weng

.

start reading:

/docs/pdfdocs/modelsim_tut.pdf

Regards, Hans

formatting link

Reply to
HT-Lab

Hans,

Thank you for your guide.

I have downloaded the files, the version is 2012, 10.c. Is it the latest version available from the web?

Weng

Reply to
Tianxiang Weng

Hi Hans, After reading 6 pages of MIT ModelSim/Verilog Tutorial at file:///E:/Weng/00-Claim/00-Sorting/00-Simulation/ModelSim%20Files/ModelSim_tutorial.pdf, I decided to abandon any attempt to use the command-line method.

The reason is very simple: if the Starter Edition ignores the -2008 parameter in using the icon method, it will ignore it in a command-line method. There is no good luck with it.

Thank you.

Weng

Reply to
Tianxiang Weng

..

No it should be 2020.3,

Regards, Hans.

Reply to
HT-Lab

Hans,

Could you give a link to 2020.3?

Weng

Reply to
Tianxiang Weng

..

Verilog?

/ModelSim_tutorial.pdf, I decided to abandon any attempt to use the command-line method.

Not sure what you are doing but creating a Modelsim .do/.tcl file is dead simple, here is the procedure for a simple design:

1) Create a work library (this is done automatically as well) vlib work work 2) Optionally but recommended create a local modelsim.ini file vmap work work 3) compile your design vcom -quiet -2008 myfiles.vhd vlog -quiet myver.sv 4) elaborate the design vsim -quiet work.mytestbench 5) open waveform (or open previous saved one with do wave.do) view wave 6) drag and drop your signals in the waveform window and save 7) run your simulation run -all

To re-iterate all OEM versions support VHDL2008,

Regards, Hans

formatting link

Reply to
HT-Lab

ng/00-Claim/00-Sorting/00-Simulation/ModelSim%20Files

ameter in using the icon method, it will ignore it in a command-line method . There is no good luck with it.

Hi Hans,

Thank you very much, I appreciate your selfless efforts to help me.

I will try your method step by step and will report to you on this post for further advice after step 3.

I have a FIFO entity., 108 source code lines, to test if the Starter Editio n allows using the VHDL-2008: eliminating a signal Full that drives the out put port Full_O. My experience is the Starter Edition gives a hint that an output port cannot be read internally and the function can be implemented i f it is compiled with the 2008 version set. Why I told you that the Starter Edition does not support the 2008 version, is because of the generated hin t, i.t. after your step 3, I will immediately know if the Starter Edition s upports the 2008 version.

Actually, I may need a few more months to finish my project. I am about hal fway now to the finish line regarding the coding. With Starter Edition of M odelSim available now, I tried to compile my finished files to see if there are any types of errors and do simulation for the finished algorithm.

My original plan is when all my coding is finished, I will purchase Intel M odelSim for the first year of $1999, then start compiling and simulating wi th the VHDL-2008 version and finish it within 1 year.

If you are more interested in what I am doing now, we may communicate perso nally through my email: w t x w t x @ g m a I . c o m.

Weng

Reply to
Tianxiang Weng

Weng/00-Claim/00-Sorting/00-Simulation/ModelSim%20Files

arameter in using the icon method, it will ignore it in a command-line meth od. There is no good luck with it.

or further advice after step 3.

ion allows using the VHDL-2008: eliminating a signal Full that drives the o utput port Full_O. My experience is the Starter Edition gives a hint that a n output port cannot be read internally and the function can be implemented if it is compiled with the 2008 version set. Why I told you that the Start er Edition does not support the 2008 version, is because of the generated h int, i.t. after your step 3, I will immediately know if the Starter Edition supports the 2008 version.

alfway now to the finish line regarding the coding. With Starter Edition of ModelSim available now, I tried to compile my finished files to see if the re are any types of errors and do simulation for the finished algorithm.

ModelSim for the first year of $1999, then start compiling and simulating with the VHDL-2008 version and finish it within 1 year.

sonally through my email: w t x w t x @ g m a I . c o m.

Hans, Here is the record of my compiling FIFO.vhd:

can't open "transcript": permission denied # Reading pref.tcl # Loading project FIFO vcom -work work -2008 -explicit -stats=none FIFO.vhd # Model Technology ModelSim - Intel FPGA Edition vcom 2021.1 Compiler 2021.

02 Feb 3 2021 # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package NUMERIC_STD # -- Compiling entity FIFO # -- Compiling architecture A of FIFO # ** Error: FIFO.vhd(87): Ambiguous type in infix expression; ieee.NUMERIC_ STD.UNRESOLVED_UNSIGNED or ieee.NUMERIC_STD.UNRESOLVED_SIGNED. # ** Note: FIFO.vhd(120): VHDL Compiler exiting # C:/intelFPGA_pro/21.1/modelsim_ase/win32aloem/vcom failed.

The error is about a case statement: case A & B is -- test this statement ... end case;

In 2008, A & B can be two different signals; In 2002, case C is -- C = A & B ... end case;

In the Starter Edition, when ModelSim is being opened, one can only choose the "Jump Start" button to either create a project or open an existing proj ect. No other choice to select.

I selected the "Create a project" button. After the input is finished I ent er the following command line: vcom -work work -2008 -explicit -stats=none FIFO.vhd

Is there anything I missed?

Weng

Reply to
Tianxiang Weng

On 26/05/2021 17:41, Tianxiang Weng wrote: ..snip

Hi Weng,

That is not good, do you have admin rights on your PC?

Hard to tell what is going on, I would suggest you post your code on the comp.lang.vhdl

I suspect it find an mpf file (your previous project perhaps) and hence defaults to using projects? Just delete/rename the mpf file and try again. If that fails then you can try to rename or delete the Modelsim registry entry:

HKEY_CURRENT_USER\Software\Model Technology Incorporated\ModelSim

When you rename/delete this entry Modelsim will recreate it when it starts up and behaves as if it has just been installed.

Most likely but as I mentioned difficult to tell what is going on,

Good luck, Hans.

formatting link

Reply to
HT-Lab

Hans,

I created a new directory, an empty one, then copied a modified FIFO.vhd into the directory; after that, I started ModelSim and created a new project and got the result.

No, I don't want to continue any other things to try it again.

Thank you.

Weng

Reply to
Tianxiang Weng

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.