icarus verilog

Does anybody knows why does the Icarus Verilog disapeared?

Mehdi

Reply to
GaLaKtIkUs™
Loading thread data ...

Not sure! As for me , I would *never* use a synthesizer that was not supported in some way for any serious. Not to trash the hard work that was put into Icarus Verilog. Its hard enough trying to track down my own bugs, let alone somebody elses.

Some things are worth paying for a *team* of engineers to do.

That being said, having free tools are nice. But this is a non-issue now. I think just about all of the major vendors give away versions that are tools that will cover quite large applications. I think the open source part is a non-issue. There is almost nothing that most can do to optimize the synthesis algorithms. At best, you'll match what Synplicity, etc. have already done. And if you add up the time trying to get there, its not worth it. You might as well put that same amount of time running a lemonade stand and then buy a copy of commercial synthesizer with the profits. Either way your out 4000 Man-hours, but with one latter option you'll end up with a better product and support!

If you feel you can optimize a piece of HDL better, you'll just implement your design by instantiating gate level primitives! And if you are even more motivated, join the synplicity, Xilinx, etc. team!

Just my thoughts...

-Eli

Reply to
Eli Hughes

On a sunny day (Fri, 04 Nov 2005 10:42:26 -0500) it happened Eli Hughes wrote in :

I think iverilog is a very useful verilog compiler. And fast. _________________________________________ Usenet Zone Free Binaries Usenet Server More than 140,000 groups Unlimited download

formatting link
to open account

Reply to
Jan Panteltje

I don't use the iverilog for synthesis - however I *do* use it for rtl simulation - since I can't find other free simulators to run on Linux - and for hobby projects (even large ones) it is quite good imho. A pity if it disappeared completely - time for making a fresh backup of the current source tree on my HDD - I'd be quite stuck without it ;)

-- Brian

Eli Hughes wrote:

Reply to
Brian Dam Pedersen

Hi Jan,

Although I understand the usual "your mileage may vary," but recently I spent two days trying to run a PCI IP core (Xilinx LogiCORE PCI compatible BDS XPCI PCI IP core) I developed with IVI (Icarus Verilog Interactive). The binary of IVI I used was ivi-0.4-pre-20031121-setup.exe from sourceforge.net

formatting link
, the last version without Eclipse. The reason I picked IVI instead of the regular Icarus Verilog was that I have not been successful running Icarus Verilog from Windows 2000's Command Prompt, and also Icarus Verilog doesn't come with GUI. Because of the low expectations people have of free EDA tools, I honestly didn't think IVI can run BDS XPCI PCI IP core along with the simulation model of Xilinx LogiCORE PCI, but it somehow did finish the simulation. Wow, that's not bad, it beat my very low expectations. The simulation speed of IVI was okay if not great for me, but the biggest problem of IVI (and perhaps Icarus Verilog) was that the compilation and loading of the entire design was soooooo slow that it probably will make it useless for most people to use as an HDL simulator. Maybe the more recent version of Icarus Verilog might be better, but I have not been able to run IVI with Eclipse. (Took three days to figure out how to install it, and it crashes when I try to run it.)

Kevin Brace

Jan Panteltje wrote:

--
Brace Design Solutions
Xilinx (TM) LogiCORE (TM) PCI compatible BDS XPCI PCI IP core available 
 Click to see the full signature
Reply to
Kevin Brace

It looks like the main site is gone/crashed/dead for today. But the nice part about GPL'd stuff is that it will always be available somewhere, if not on sourceforge.

see

formatting link

where it's embedded in the gEDA project, and is likely to maintained there if the author has given up on it.

Reply to
air_bits

I think Stephan is on some longer vacation, ast Stephan told on the gea mailing list october 8. It's natural that the website goes down in such a situation...

The other option for a free simuator is

formatting link

I am very glad about those two option.

Bye

--
Uwe Bonnes                bon@elektron.ikp.physik.tu-darmstadt.de

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
 Click to see the full signature
Reply to
Uwe Bonnes

On a sunny day (Fri, 4 Nov 2005 20:29:18 +0000 (UTC)) it happened Uwe Bonnes wrote in :

mmm, my server, runs Linux 24/7: panteltje:~# uptime

10:36pm up 52 days, 8:15, 7 users, load average: 0.20, 0.60, 1.44 It is pretty secure now with many bad guys in ipchains :-) _________________________________________ Usenet Zone Free Binaries Usenet Server More than 140,000 groups Unlimited download
formatting link
to open account
Reply to
Jan Panteltje

Exactly, and since the site is down I grabbed the latest from geda site, in the same way I grabbed Suse-10.0 from

formatting link
when I did read Novell had management changes, now I have latest Suse Linux with gcc4 for free.... I'd say get it while you can, it is only 3.5GB or so...

Reply to
panteltje

I had a look at that, and then linked to the Eclipse site, and looked at that. Honestly :-) if withing 10 minutes or so I cannot figure out what exactly it does (Eclipse) and how it works and [how it] could speed up or improve the whole process, then I am out of there! IBM must have dumped it into the public domain for the same reason, and then they can claim 'millions of dollars donations to open source'..... So, you know, my sort of dream of GUI based FPGA software would be something that allowed me to drag and drop objects on a form (the FPGA), say a filter, an IO block, a Viterbi decoder, a multiplier, a FIFO, all sort of things. And then click on it and specify its parameters Make your own blocks too. Do some interconnect by drawing a line and indicating number of bits, add some processor and code perhaps, and press 'realize', and it would recommend a FPGA from some manufacturer, and generate a bitfile for it.

I do not usually check object code gcc produces, I trust it.... nor use asm as output. for inspection, gcc produces output for many processors. In such a case anyways I could see the use of a GUI tool like that. Maybe a dream...

Reply to
panteltje

Mmm. I think he was being tongue-in-cheek. I have a linux cluster that performs faultlessly, needing no human hand to guide it, running every hour of every day of the year. The instant I take a holiday, however, two disks on the RAID array will fail, or lightning will strike the building, or something.

Both of the above have actually happened. When I was on holiday.

I'm beginning to believe in anti-magic.

Simon.

Reply to
google

IVI is a mediocre GUI for icarus verilog. I've used it before, but it had problems (mostly with documentation).

Eclipse is a Java IDE. It is written in Java and it is for making Java programs. There are extensions(C/C++, verilog, etc) that allow it to edit other things with syntax highlighting and "intellisense," but they are nowhere near as complete as the Java portion.

I have tried the eclipse verilog extension. Quite frankly, it just didn't come anywhere close to being as useful as verilog-mode for emacs. It may be better some day, but right now, I recommend using emacs and verilog mode. Perhaps Vim 7 will support "intellisense" like operations in verilog and bring Vim verilog support up to at least close to emacs. Honestly though, I don't know what this has to do with Icarus Verilog's website not working...

Anyway, I suggest folks try gpl-cver (it was linked in a previous post in this thread). Also of interest may be veriwell:

formatting link
. Veriwell appears to have been open sourced last month. I know it's kind of old, but it has been a well known verilog sim for a long time.

Good Luck, Arlen

Reply to
gallen

How would you compare the two? Is Cver as complete and mature as Icarus?

BTW: even if the icarus website were to disappear, the icarus codebase itself would live on forever since it is under GPL - that's the nice thing about open source. Hopefully, the icarus website will be back up soon.

Phil

Reply to
Phil Tomson

I feel Cver is more complete. Cver comes as a GPL incarnation and as a commercial product.

--
Uwe Bonnes                bon@elektron.ikp.physik.tu-darmstadt.de

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
 Click to see the full signature
Reply to
Uwe Bonnes

Better tell Altera and Xilinx quick - after all, both of them use Eclipse as the basis for their soft cpu IDEs. In fact, better tell the java programming world that their most popular IDE is not good enough for serious use!

And IBM did not "dump it into the public domain" - they re-licensed it as open source, which is a completely different thing. Big, resource-heavy IDEs may not be your thing - but if it is, there are no general-purpose IDEs to beat Eclipse, which is why even Borland are supporting it.

Reply to
David Brown

Agreed. I downloaded Eclipse because I was looking for a Verilog editor that was "better" than emacs. By "better," I mean a better indent engine. IMHO, the Verilog mode for emacs is not up to the same high level as the VHDL mode. Anyways, Eclipse was frustrating (and this is coming from an emacs bigot who climbed emacs' steep learning curve) and I couldn't figure out how to get it to do proper syntax highlighting and indenting.

So I deleted it and went back to emacs. And life was better.

-a

Reply to
Andy Peters

On a sunny day (7 Nov 2005 20:53:56 +0200) it happened David Brown wrote in :

java... everything ten times slower. Now if it is only GUI stuff PERHAPS it makes no difference, but if anything goes through it it would explain a lot why iverilog is so fast by itself as compiler (for testing code) and in combination and those other stuff you are talking about so slow. Borland is dead right? java is half dead and slow as hell, no 'resource heavy IDE' is NOT my thing, on the contrary. That is more the domain of 'have no clue what to do' programmers. Cheers Jan

Reply to
Jan Panteltje

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.