How to change Read Only Constraint to Read-Write

Hi,

I am using singal of 32 bit's lenght in my .vhd file and I am compiling using Makefile. I have also defined a UCF file. My UCF file is generating error when run ngd build using Makefile. The error is given below :

ERROR: Reading component libraries for design expansion...

Annotating constraints to design from file "VIR3.ucf" ... ERROR:NgdBuild:755 - Line 49 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 50 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 51 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 52 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 53 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 54 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 55 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 56 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 57 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 58 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 59 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 60 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 61 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 62 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 63 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 64 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 65 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 66 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 67 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 68 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 69 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 70 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 71 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 72 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:NgdBuild:755 - Line 73 in 'VIR3.ucf': Could not find net(s) 'Input' in the design. To suppress this error use the -aul switch, specify the correct net name or remove the constraint. ERROR:Parsers:11 - Encountered unrecognized constraint while parsing. ERROR:NgdBuild:19 - Errors found while parsing constraint file "VIR3.ucf".

--------------------------------------------------------------------------------

I used -aul switch in my ngdbuild statement but this action simply delete this message. And in the actual *.par file I could not see signal's greater than 6th bits ( i.e there is no pin assignent of Input greater than Input.

Then, I comment all the signal Input to Input in my UCF file and did NDG bulild. After doing this I could see pin assignment for Input to Input as before.

After doing this I used ngd file and UCF file ( but this time all signal's Input to Input were uncommented) . I used these both file to see whats happening in Contraint Editor comes with Xilinx ISE

5.1 Keep one thing in mind that ngd file is produce using constraint higher than 6 being commented and UCF file is used having all the signal 0 to 31 uncommented . I found that
  1. In UCF Contraint (Read-Write) tab NET "Input" LOC = "P94" ; NET "Input" LOC = "P96" ; NET "Input" LOC = "P99" ; NET "Input" LOC = "P101" ; NET "Input" LOC = "P103" ; NET "Input" LOC = "P107" ; NET "Input" LOC = "P109" ;

  1. In UCF Constraint ( Read Only) tab

NET "Input" LOC = "P111" ; NET "Input" LOC = "P125" ; NET "Input" LOC = "P126" ; NET "Input" LOC = "P127" ; NET "Input" LOC = "P128" ; NET "Input" LOC = "P130" ; NET "Input" LOC = "P131" ; NET "Input" LOC = "P132" ; NET "Input" LOC = "P133" ; NET "Input" LOC = "P139" ; NET "Input" LOC = "P140" ; NET "Input" LOC = "P141" ; NET "Input" LOC = "P142" ; NET "Input" LOC = "P144" ; NET "Input" LOC = "P146" ; NET "Input" LOC = "P147" ; NET "Input" LOC = "P149" ; NET "Input" LOC = "P152" ; NET "Input" LOC = "P153" ; NET "Input" LOC = "P154" ; NET "Input" LOC = "P155" ; NET "Input" LOC = "P157" ; NET "Input" LOC = "P159" ; NET "Input" LOC = "P160" ; NET "Input" LOC = "P161" ;

Now, could any body tell what to do now in order to assigned pin's to all the rest of the bit's

Any help would be appreciated

Rgds Isaac

Reply to
Isaac
Loading thread data ...

On 9 Jul 2003 06:08:30 -0700, fpga snipped-for-privacy@yahoo.co.uk (Isaac) wrote

Are you sure your signal is 32 bits? You might have declared it as 32 bits, but the synthesiser may remove bits (such as 31 downto 7) if they are not used. This could cause the error messages you saw.

For an input port, "not used" means that (after logic reduction) it doesn't affect any outputs.

Regards, Allan.

Reply to
Allan Herriman

Yes ALLAN I am Sure I am using different bits

E.g

This VHDL code I tried but in PAR file no pin assignment for signal 13 to 7

process(CLK_2X,SR_ADDR_IO_int,SR_DATA_IO_int,SR_IRD_int,SR_IWR_int,SR_IVCS_V3_int) begin if RISING_EDGE(CLK_2X) then if SR_IVCS_V3_int = '0' then if SR_IWR_int = '0' then if SR_ADDR_IO_int = "001100" then LED_V3_int

Reply to
Isaac

Sorry , I changes my code to Input ....... So please read SR_DATA_IO_int (13 downto 0) as Input (13 downto 0)

Cheers

Isaac

process(CLK_2X,SR_ADDR_IO_int,SR_DATA_IO_int,SR_IRD_int,SR_IWR_int,SR_IVCS_V3_int)

Reply to
Isaac

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.