Fatal errror in ISE 6.3 i

Hello Guys,

I am facing fatal error when i am synthesizing my RTL code. The same code gets synthesized in synplify but not in ISE 6.3i. I tried my luck for finding the answer in xilinx site but found no information about.

FATAL_ERROR:Xst:Portability/export/Port_Main.h:127:1.13 - This application has discovered an exceptional condition from which it cannot recover.

Has anyone faced this type of problem. Can you please suggest how can i solve this problem.

Thanks and Regards Williams

Reply to
stud_lang_jap
Loading thread data ...

I would start at having a closer look at the synthesis report file. Most of the time when something like that happens there are earlier error or warning messages that could hint at what's really wrong. You can open the synthesis report either in ISE (but there the function to display it usually only works when there are no fatal erros) or by opening the

*.syr-file ISE creates in your project directory with a text editor.

Maybe there are some clues there. Otherwise, there's really not much you can do... FATAL_ERRORS are supossed to only show up when there's something really terribly wrong somewhere... Mostly, bugs in the software...

Plus, have you looked at Xilinx Answer Records on

formatting link
? This looks similar to your problem, although the ISE version doesn't match:

formatting link

cu, Sean

Reply to
Sean Durkin

This is a known issue in ISE 6.3i and was partially fixed in 7.1i

if you are using timing driven packing and placement, turn off "register duplication".

if this does not help, turn off "timing driven packing and placement"

if this still does not help, try turning "keep_hierarchy" to "yes"

Vladislav

Reply to
Vladislav Muravin

Thanks a lot Valdislav and Sean. You people made my day.

Regards Williams

Reply to
stud_lang_jap

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.