FATAL ERROR IN EDK 7.1i

hi all, while generating the bitstream for a design with two processors and ddr sdram i'm getting the following error in edk 7.1i .....could anybody please state the cause of this error ....

FATAL_ERROR:Bitgen:Portability/export/Port_Main.h:127:1.17.12.6 - This application has discovered an exceptional condition from which it cannot recover. Process will terminate. To resolve this error, please consult the Answers Database and other online resources at

formatting link
If you need further assistance, please open a Webcase by clicking on the "WebCase" link at
formatting link

note: i'm a student ...so i cannot access Webcase feature .... hence this is my only way out ...

SAVS

Reply to
savs
Loading thread data ...

savs schrieb:

FATAL_ERROR:Bitgen:Portability/export/Port_Main.h:127

the h:127

is VERY famous Xilinx Error - it tells you nothing what actually went wrong and isnt helpful at all finding the problem. the only solution is usually to start your project from scratch or wait for ISE/EDK upgrade or service pack release.

Antti

formatting link

Reply to
Antti

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.