EDK 7.1, Virtex4 GPIO PULLIP problem

hi

a quick question I have and microblaze in Virtex4 (EDK 7.1 SPx), the system has several GPIO instances it all works OK until I try to add "PULLUP" on the second GPIO port, then there will be several DRC errors complaing that OLOGIC_OFF1 must have signal on CLOCK pin.

I see no difference between the 2 GPIO instances in my system, but on one of them the IOB PULLUP can not be used, or it seems so.

any ideas for workaround ??

Antti

Reply to
Antti Lukats
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.