Convert Enumeration to Integer

Hi,

I've read the VHDL FAQ 4.2.21 "How to Convert Between Enumeration and Integer Values". Anyway, I have questions to these function:

function slv2pec ( signal id : std_logic_vector(2 downto 0)) -- signal id : std_logic_vector(natural range )) return pattern_edge_comb_t is

begin -- Error: No feasible entries for infix operator "

Reply to
Olaf Petzold
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.