connecting block ram to datapath using bidirectional lines

Hi ,I've been working on this for three days and I got lost in the se of XST warnings and errors .... I'm really lost.. In short ,what want is to design a combinational module that will interface betwee the datapath of a cpu with blockram's bidirectional datalines..th module should include an input port and outport both connected t register file's read and write ports, and a bidirectional por connected to the ram's datalines..

Target device is Spartan 3

PLZ HELP

Reply to
Xizen
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.