VHDL czy Verilog ?

Witajcie,

Wkrótce kończy się sesja, i będę chciał poświęcić trochę czasu na naukę programowania układów FPGA (na początku prawdopodobnie będzie to xilinx spartan3). Nie wiem jednak, od jakigo języka opisu sprzętu zacząć. Sporo czytałem w Sieci, ale nie znalazłem żadnej wartościowej wskazówki. Z tego co wiem, pod uwagę warto brać VHDL'a i Verilog'a. Inne wydziwasy typu AHDL są przeznaczone tylko do jednego rodzaju kości, więc na początku już je odrzucam.

Oczywiście rozumiem, że jest to pytanie, na które nie ma jednoznacznej odpowiedzi, ale może ktoś z was byłby w stanie coś doradzić, zasugerować czy przedstawić jakiś fakty, które pomogą mi podjąć dobrą decyzję ?

Reply to
voices
Loading thread data ...

IMHO jezyk VHDL jest bardziej popularny i czesciej wykorzystywany (w kazdym razie wsrod moich znajomych i w firmie, w ktorej pracuje). Znajac dobrze VHDLa chyba latwiej znajdziesz prace, niz z Verilogiem.

Reply to
Adam Dybkowski

Ale pomijając popularność i przyszłość zawodową, czy te języki bardzo się różnią ? Czy któryś jest np. ewidentnie bardziej elastyczny, bardziej funkcjonalny, czy to "to samo, tylko troszkę inna składnia", jak (oczywiście *bardzo* upraszczając) różnica między Pascal'em a "C" ..

Reply to
voices

Jestem na etapie poznawania VHDL (w połowie pierwszej książki) i nie mam praktyki, ale słyszałem opinie że najlepiej zaczynać od VHDL. VHDL jest bardziej skomplikowany i ma niejednoznaczną składnie i w związku z tym poznanie następnych języków typu verilog czy innych jest znacznie łatwiejsze. I jeszcze słyszałem że VHDL najczęściej stosowany dla FPGA a verilog raczej dla ASIC. I w VHDL jest możliwość tworzenia testbench co pozwala na kompletne projektowanie w jednym języku bez ukierunkowania się na konkretnego producenta FPGA i jego narzędzi. Jak wcześniej mówiłem nie jestem specem w tej dziedzinie tak że mogę się mylić.

Reply to
framer

Odkad Borland sie zabral za obie, to sa bardzo dobrze do siebie porownywalne :-)

J.

Reply to
J.F.

Ojej, nie chodziło mi o porównywanie języków, tylko o to, że mają jakby wspólną ideę, że pascalowy begin to w c jest "{" - czyli chodziło mi o to, że to to samo, tylko inaczej składniowo zapisane.

Oczywiście przykład podałem ogólnie, to są zupełnie inne języki, są między nimi setki różnic. Chodziło mi o ogólne podobieństwo ..

A borlandów nie trawię, C++ to C++, ale nie widzę celowości nauki takich dziwactw jak Object Pascal. Ale to już dyskusja NTG ...

Reply to
voices

Nie jestem przekonany o popularnosci Verilog'a w USA, ale nie pracuje tam, wiec nie wiem. Jakis czas temu przeczytalem zupelnie przeciwna opinie. Niestety, nie powiem, który jezyk jest lepszy poniewaz sam uzywam tylko VHDL'a i verilog'a nie znam w ogóle. Wiec polecam Ci VHDL'a :)

Pozdrawiam

Jerzy Gbur

Reply to
jerzy.gbur

A mi chodzilo o to ze tych roznic bylo znacznie mniej. Glowna - brak formatu 'hex' w TP - jesli o mnie chodzi wystarczalo zeby zaczac program pisac w C :-)

A byl czas gdy to C bylo jezykiem niszowym :-)

J.

Reply to
J.F.

sa nawet automatyczne konwertery jednego jezyka na drugi.. sprawdzalem - dziala i sie kompiluje nawet:)

Reply to
Greg

"Greg":

slyszalem, choc nigdy nie widzialem; dostepne w sieci ?

JA

Reply to
JA

tak chyba w 3 meijscach znalazlem.. wersja z ograniczeniami, ze nie da sie zapisac..

Reply to
Greg

"Greg":

no to mi jeszcze tylko nazwy badz linka brakuje :) moze byc z ograniczeniami, chcialbym zobaczyc co to jest, do pracy mi niepotrzebne;

JA

Reply to
JA

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.