FPGA VHDL Verilog CPLD

Witam, Czy orientuje się ktoś jak wygląda z popularnością układów programowalnych? Chciałbym się nauczyć w miarę porządnie jakiegoś języka opisu sprzętu. Na razie zacznę od CPLD Xilinxa i teraz pytanie VHDL czy Verilog? Czy w WebISE można używać obydwóch?

W przyszłości chciałbym się przesiąść na coś większego, zachęca mnie możliwość wbudowania uC do FPGA i konfigurowania peryferiów. Co polecacie? Xilinx, Altera, Lettice? Coś jeszcze popularnego? Do Altery można ARMa wsadzić, ale te NIOSy czy Pico/Micro Blazy też nie wyglądają źle. Cena płytki tu już jest większa, ale wydaje mi się, że te pod Spartana 3AN za 200$ są już w miarę wypaśne.

Czy Altera i Lattice też mają wersję z Flashem? Na stronach się nie dokopałem.

Czy możecie z doświadczenia porównać środowiska programowe xilinxa i Altery, może w sofcie tkwi kruczek do wyboru.

Co do maksymalnych możliwości to raczej w Veritexy się bawił nie będę i Spartan to na razie taki mój target do opanowania.

Jeszcze jedno pytanko mi się nasunęło, czy przesiadka z Xilinxa na Alterę lub na odwrót jest kłopotliwa? Język ten sam niby, ale jakieś różnice zapewne są.

pozdrawiam g.

Reply to
glatocha
Loading thread data ...

Z tego co wiem, Verilog w Polsce jest mniej popularny, ale w praktyce wychodzi, że prostsze rzeczy łatwiej się robi w Verilogu ;)... ja bym Ci ten język szczególnie polecił ;)... Naturalnie możesz używać obu języków, możesz stosować w jednym projekcie moduły napisane w różnych językach, ale w jednym pliku tylko jeden język ;)... Tak czy siak właściwie da się dowolnie łączyć te języki (odpowiednio dzieląc moduł na mniejsze podmoduły).

No różnice są, różne są układy i tyle ;)... to jest tak, pisząc w Verilogu lub VHDLu opisujesz zachowanie się sprzętu. Czyli rejestry, bramki, połączenia itp (tzn. opisujesz na wyższym poziomie, ale to się sprowadza do takich rzeczy). Natomiast wszystkie sprawy związane z samym układem - ustalasz już poza językiem ;)... np. przypisanie pinów do wejść, wybranie standardu logiki itp konfiguracja - to wszystko dzieje się w programie... moim zdaniem - trzeba się przyzwyczaić, ale przesiadka to nic trudnego ;)... wiele osób posługuje się na zmianę Xilinxem i Alterą i nie muszą za każdym razem uczyć się wszystkiego od nowa ;)...

Pozdrawiam Konop

Reply to
Konop

Krotko: Altera i VHDL.

Xilinxa sobie odpuscilem, bo z jego oprogramowaniem dostawalem niestrawnosci. Po prostu zart i strata czasu.

Reply to
Jerry1111

No do VHDLa się jakoś przekonałem natomiast co do Altery i Xilinxa, to na razie mam to CPLD Xilinxa więc z softem tak, czy tak muszę powalczyć. A do spartana, po prostu przekonuje mnie bardziej rozbudowana płytka niż do cyclonów. Oczywiście mówię o tych najtańszych za 200$.

Ale FPGA to sprawa przyszłości na razie VHDLa muszę opanować.

Dzięki za odpowiedź

Reply to
glatocha

Plytke kupujesz raz, a firme trudniej zmienic.

Reply to
Jerry1111

Dlatego pytałem czy ciężko zmienić firmę, z tego co ktoś wcześniej pisał to kwestia głównie opanowania softu. A jednak od jakieś płytki trzeba zacząć. Fajniejsza płytka bardziej cieszy i daje motywację do nauki.

Reply to
glatocha

Właśnie Xilinx ma Spartana 3AN FPGA z Flashem, tylko chyba to się tam odbywa tak, że jest SRAM normalny z konfiguracją i po prostu ten Flash jest zabudowany w tej samej kostce.

Z tymi przerwaniami to faktycznie dłuuuugo. Ale wyobrażam sobie to tak, że mam jądro i resztę w miarę konfigurowalną w jakiejś tam przestrzeni adresowej to znaczy jak potrzebuję 20 liczników i 30 PWMow to sobie to w granicach zasobów mogę zrobić. A jak nie używam Watchdoga to go wycinam z korzeniami, a nie tylko wyłączam jak w zwykłym procku. Ale tak jak pisałem, zacznę od jakiś liczników czy rejestrów przesównych a potem będę szalał z czym innym. Widzę, że biegły jesteś w tematyce, to pozwolę sobie Ciebie zapamiętać i w razie czego kiedyś podpytać ;)

pozdrawiam g.

Reply to
glatocha

Zdecydowanie xilinx i verilog.

Poszukaj sam w internecie, co jak najpopularniejsze, do czego jest najwięcej materiałów, projektów. Choćby przykładowo na opencores.org. Wybór będzie jasny.

W profesjonalnych rozwiązaniach, np. w centralach i innym sprzęcie telekomunikacyjnym różnych firm wszędzie widuję xilinx'a, a altera to egzotyka. Sądzę, że altera zakorzeniła się mocno w świadomości wielu Polaków z powodu promowania jej na uczelniach.

Co do języka, mi wystarczyło spojrzeć na podobny kod napisany w vhdl'u i verilog'u, aby wybrać ten drugi.

pozdrawiam, voices

Reply to
voices

Z drugiej strony EPCS4 tez do najtanszych nie nalezy.

U mnie gdzies co trzecie urzadzenie konczy z Niosem wlasnie ze wzgledu na swobode wyboru wszystkich (nieraz cudacznych i pisanych w firmie) peryferiow. Duzo prosciej.

Ekhm... to zrob se state-machine na bramkach tak, zeby to jeszcze zrozumiec. Pewnych rzeczy nie warto rysowac jako schemat.

Reply to
Jerry1111

glatocha pisze:

Lattice ma wywodzącą się jeszcze z AMD rodzinę MACH, w jej ramach masz między innymi układy Mach XO z wbudowanym flashem

Reply to
Michał Baszyński

In the darkest hour on Wed, 22 Jul 2009 17:35:08 -0500, Pszemol snipped-for-privacy@PolBox.com screamed:

Ale w tym ostatnim przypadku trzeba pamiętać o tym, że jest to rozwiązanie nieprzenośne. Zmienisz producenta FPGA i rysować musisz od zera.

Reply to
Artur M. Piwko

In the darkest hour on Fri, 17 Jul 2009 00:57:12 +0200, glatocha snipped-for-privacy@gmail.com screamed:

A ja poleciłbym Xilinxa i Verilog. Verilog w porównaniu z VHDL-em to syntactic sugar. Jest mniej nadmiarowy jeśli o składnię chodzi i bardziej przypomina C (co dla mnie jest zaletą).

Reply to
Artur M. Piwko

Szczerze mówiąc nie wierzę, aby ten VHDL czy Verilog przenosił się w 100%...

Reply to
Pszemol

In the darkest hour on Thu, 23 Jul 2009 02:17:07 -0500, Pszemol snipped-for-privacy@PolBox.com screamed:

Pokonfigurować nogi jednak trzeba... :> Ale to już innego praca lekka, łatwa i przyjemna. I jednorazowa.

Reply to
Artur M. Piwko

Przecież są różnice pomiędzy scalakami dotyczące tego czy np. ff mają preset czy mają tylko reset, są różnice zachowania tych przerzutników przy globalnych resetach... Nie bardzo wierzę że każdy kod VHDL przeniesiesz na każdego fpga... w 100%.

Reply to
Pszemol

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.