How to add a lib to the core used

Hi

I am having problems trying to add a plb slave device. I edited the user_logic.vhd file of my core and invoked the Create/Import utility. There had been some libraries which I used to create the entity which I had called in this user file. I have a function in this library which XPS cannot access (it appears to be so). I have all the library (vhdl files) also in the same folder where I have my core files. Do I have to add it as a library in the Import utility? Could someone please help me on this?

This is the error which I got when I invoked "Generate Netlist" in XPS

plb_decoder_0_wrapper (plb_decoder_0) - E:\Test\system.mhs:63

- Running XST synthesis ERROR:Xst:813 - E:/Test/xps_decoder/try02/pcores/plb_decoder_v1_00_a/hdl/vhdl/user_logic.vhd line 53: Body of function MY_FUNCTION not found. ERROR:MDT - HDL synthesis failed! INFO:MDT - Refer to E:\Test\synthesis\plb_decoder_0_wrapper_xst.srp for details ERROR:MDT - platgen failed with errors! make: *** [implementation/plb_decoder_0_wrapper.ngc] Error 2

Regards, Joey

Reply to
Joey
Loading thread data ...

Do you have choose .prj file in Wizard

Reply to
digi

No, I used the .pao file (Peripheral Analysis Order) I edited the user_logic.vhd file using ModelSim and simulated it. It all went fine. Then I imported the the device/peripheral using the same .pao file and added the new files in the wizard. This had updated the .pao file and I have cross checked it. But when I "Generate Netlist" in XPS, its not finding the function.

"digi" schrieb im Newsbeitrag news:SfOdnUmCl8oD9jXfRVn snipped-for-privacy@giganews.com...

Reply to
Joey

Well I found out what the error was and thought of sharing it anyway :)

formatting link

Joey

"digi" schrieb im Newsbeitrag news:SfOdnUmCl8oD9jXfRVn snipped-for-privacy@giganews.com...

Reply to
Joey

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.