альтеровский байтбластер vs Quartus for Linux

сабж кто-нибудь пробовал запустить?

насколько я вижу этот квартус как работает, так он похоже насквозь проwine-ченый, руки все никак не дойдут попробовать будет у него доступ на LPT или нет?

Reply to
Dmitry E. Oboukhov
Loading thread data ...

Привет Dmitry!

02 Aug 06 00:50, Dmitry E. Oboukhov писал All:

DO> насколько я вижу этот квартус как работает, так он похоже насквозь DO> проwine-ченый, руки все никак не дойдут попробовать будет у него DO> доступ на LPT или нет?

О! Правильно ли я понял, что тебе удалось запустить Квартус под wine? Если да, по под какой версией? И вообще, поделись методикой, если для этого требуется сделать что-то нетривиальное.

Всего наилучшего, [Team PCAD 2000] Алексей М. ... Синяки рождаются в споре куда чаще, чем истина...

Reply to
Alex Mogilnikov

DO>> насколько я вижу этот квартус как работает, так он похоже насквозь DO>> проwine-ченый, руки все никак не дойдут попробовать будет у него DO>> доступ на LPT или нет? AM>

AM> О! Правильно ли я понял, что тебе удалось запустить Квартус под wine? AM> Если да, по под какой версией? И вообще, поделись методикой, если для AM> этого требуется сделать что-то нетривиальное. нет не правильно понял я работаю в квартусе под linux. есть версия квартуса под линукс.

но она похоже построена так что те части которые они не смогли (не успели портировать под юниксы (квартус есть практически под все системы)) они их запустили под специально настроенным wine.

инсталлятор этого квартуса на tcl написан

в общем если интересно иди на telesys.ru в поиск по форуму там периодически публикуют откуда взять и как поставить (в смысле таблэток).

причем интересно так. я сперва хотел купить квартус под лин у нас инвестор был богатый что-то бзикнуло ему нас на все лицензионное посадить, ну я отзвонил в российское представительство как раз на предмет сабжа пытался информацию с них получить не знаю почему им было проще, они прислали ссылку на telesys.ru мне на очередное форумное сообщение как таблэтку прикладывать и типа сами разбирайтесь что работает что нет (руки правда у меня так и не дошли)

ну а мы прикинули, и три килобакса в какую-то другую (не помню уж) отладочную среду засунули. так что вот так интересно работают наши представители Альтера (какие не скажу :) )

PS: а для себя я в прошлом году собрал из их инсталлятора deb-пакет могу поделиться (там правда зависимость на tclsh не стоит, ну то фигня) он правда 600Мег, могу положить на bittorrents но думаю проще тебе будет найти ссылку и самому скачать с их ftp и таблэтку приложить будет последняя версия (у меня где-то прошлого лета версия, меня устраивает)

Reply to
Dmitry E. Oboukhov

К LPT как к /dev/lp может и будет. А как к /dev/parport или, хуже того, к /dev/port (0x378) -- сомневаюсь... Оно и с COM-портами (wine) толком не научилось ещё :-(

Reply to
Kirill Frolov

Hi Dmitry !

Совсем недавно 02 Aug 06 23:01, Dmitry E. Oboukhov писал к Alex Mogilnikov:

DO> я работаю в квартусе под linux. DO> есть версия квартуса под линукс. Hе сравнивал быстродействие с виндовой версией? Hу, какой-нить проектик в винде и в линухе откомпилить и сравнить время?

WBRgrds Ruslan

Reply to
Ruslan Mohniuc

DO>> я работаю в квартусе под linux. DO>> есть версия квартуса под линукс. RM> Hе сравнивал быстродействие с виндовой версией? Hу, какой-нить проектик в RM> винде и в линухе откомпилить и сравнить время? один в общем-то хрен. Квартус и там и тут - тормоз. очень мечтабельно прикрутить make к этому всему процессу, но как я пока не нашел. хоть у него и консольные все компиляторы, но множество ключей недокументировано :-\

Reply to
Dmitry E. Oboukhov

Hi Dmitry !

Совсем недавно 04 Aug 06 17:23, Dmitry E. Oboukhov писал к Ruslan Mohniuc:

DO>>> я работаю в квартусе под linux. DO>>> есть версия квартуса под линукс. RM>> Hе сравнивал быстродействие с виндовой версией? Hу, какой-нить RM>> проектик в винде и в линухе откомпилить и сравнить время? DO> один в общем-то хрен. DO> Квартус и там и тут - тормоз. Ясно. Тогда я пожалуй на винде останусь :)

DO> очень мечтабельно прикрутить make к этому всему процессу, но как я DO> пока не нашел. хоть у него и консольные все компиляторы, но множество DO> ключей недокументировано :-\ В смысле, чтобы компилить только измененные модули? ну так в Квартусе это ведь и так есть. Да и вообще, у меня фиттинг занимает из всего времени компиляции бОльшую часть времени, а тут, как я понимаю, мейк никак не ускорит процесс.

WBRgrds Ruslan

Reply to
Ruslan Mohniuc

DO>> очень мечтабельно прикрутить make к этому всему процессу, но как я DO>> пока не нашел. хоть у него и консольные все компиляторы, но множество DO>> ключей недокументировано :-\ RM> В смысле, чтобы компилить только измененные модули? ну так в Квартусе это RM> ведь и так есть. Да и вообще, у меня фиттинг занимает из всего времени RM> компиляции бОльшую часть времени, а тут, как я понимаю, мейк никак не RM> ускорит процесс. я игрался как-то с GNU-шным компилятором vhdl он правда годится только для собственно отладки и симуляции vhdl (ну там осциллограмки посмотреть виртуальные), так с мейком получалось пересборка проекта на уровень полного заполнения EPM3128 за несколько сек (1-2), на той же машинке квартус до симуляции доходит минуты через две-четыре. жаль вот только упаковки в ноги в GNU-шках нет, только и можно что vhdl отладить :(

эх

Reply to
Dmitry E. Oboukhov

Привет Dmitry!

04 Aug 06 17:23, Dmitry E. Oboukhov писал Ruslan Mohniuc:

DO> Квартус и там и тут - тормоз. DO> очень мечтабельно прикрутить make к этому всему процессу, но как я DO> пока не нашел. хоть у него и консольные все компиляторы, но множество DO> ключей недокументировано :-\

Вот что сделал мой коллега:

====================================== TARGET = blabla SRC = foo bar COPY = cmd /C copy

DEP = $(TARGET).tdf $(TARGET).qsf $(addsuffix .inc,$(SRC)) $(addsuffix .tdf,$(SRC))

all: $(TARGET).hex

$(TARGET).hex: $(DEP) quartus_map --import_settings_files=on --export_settings_files=off $(TARGET) quartus_fit --import_settings_files=off --export_settings_files=off $(TARGET) quartus_asm --import_settings_files=off --export_settings_files=off $(TARGET) $(COPY) $(TARGET).hexout $@ ======================================

Под виндой работает.

Всего наилучшего, [Team PCAD 2000] Алексей М. ... Я что, юзер, что ли, чтобы хелпы читать? (c) Vladimir Vassilevsky

Reply to
Alex Mogilnikov

Пpиветствую, Dmitry!

DEO> я игрался как-то с GNU-шным компилятором vhdl GHDL,FreeHDL ? DEO> на той же машинке квартус до симуляции доходит минуты через две-четыре. Hу ты сравнил......Квартус даже функциональную симуляцию делает по нетлисту. Имхо на том же проекте хотя бы ModelSim дал не большее время. DEO> жаль вот только упаковки в ноги в GNU-шках нет, только и можно что vhdl DEO> отладить :( Уже что-то :) В Icarus Verilog декларируют генерацию нетлиста под синтез.

ЗЫЖ заняться всё лень - приходится сидеть на Quartus+ISE+ActiveHDL :( ЗЗЫЖ сколько всего делается вокруг, а попробовать руки не доходят -

formatting link
Michael Tulupov ...

Reply to
Michael Tulupov

Пpиветствую, Alex!

AM> Вот что сделал мой коллега: AM> ====================================== AM> TARGET = blabla AM> SRC = foo bar Огромное Человеческое Спасибо. Учить мейк с нуля лень, а от этого уже потанцевать можно. Пошёл копать мануал к ModelSim и Impact - хочу "make simulate" и "make program".....

Michael Tulupov ...

Reply to
Michael Tulupov

Hi Dmitry !

Совсем недавно 04 Aug 06 23:41, Dmitry E. Oboukhov писал к Ruslan Mohniuc:

DO> я игрался как-то с GNU-шным компилятором vhdl DO> он правда годится только для собственно отладки и симуляции vhdl (ну DO> там осциллограмки посмотреть виртуальные), так с мейком получалось DO> пересборка проекта на уровень полного заполнения EPM3128 за несколько DO> сек (1-2), на той же машинке квартус до симуляции доходит минуты через DO> две-четыре. Классно, разница есть. Я тут из одного проекта из рапорта посмотрел времянку (Это из Верилога, первый Циклон, занято 3163 LE, 66496 бит RAM, 85pin):

+-------------------------------------+ ; Flow Elapsed Time ; +----------------------+--------------+ ; Module Name ; Elapsed Time ; +----------------------+--------------+ ; Analysis & Synthesis ; 00:01:08 ; ; Fitter ; 00:03:28 ; ; Assembler ; 00:00:03 ; ; Timing Analyzer ; 00:00:15 ; ; Total ; 00:04:54 ; +----------------------+--------------+

DO> жаль вот только упаковки в ноги в GNU-шках нет, только и DO> можно что vhdl отладить :(

В приведенном примере фиттинг занимает 70% времени. Так что ломать копья, чтобы сократить время в пределе на одну треть, я не стану вообще.

Правда, я еще не умею пользоваться HDL-овским моделированием, может тогда соотношение затраченного времени и изменится.

WBRgrds Ruslan

Reply to
Ruslan Mohniuc

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.