I tried this source code: entity main is Port ( clk , en : in std_logic; sout : out std_logic); end main; architecture Behavioral of main is begin process variable a : std_logic; begin sout <= '0'; a := '0'; wait until rising_edge(en); while en = '1' loop
-- wait until rising_edge(clk); wait until clk'event and clk = '1'; a := not a; sout <= a; end loop; end process; end Behavioral; and I obtain this error: Analyzing Entity <main> (Architecture <behavioral>). ERROR:Xst:825 - C:/Lavori/menfis/prova_xilinx/prova_2/main.vhd line xx: Wait statement in a procedure is not accepted. The question is: can I use wait or not? I found a lot of manual on the internet (eg.