Xilinx ISE 7.1i file management

Anyone,

I am looking for information concerning the neccissary files required from a completed project to reuse in a new project. I write a top level driver file in VHDL and often insert core generated processes. I run into trouble when inserting the driver file into a new project when core gen files were used. Specifically it is looking for a wrapped_porcess (.xco ?). Question does ISE create a folder of neccissary files of current project to be used in subsequent projects.

Sincerley

Cy Drollinger

Reply to
cyd
Loading thread data ...

Hi,

you only need the .ise file in order to reuse your project,since the rest of files are automatically generated by the ISE environment,such as tcl scripts. The Xilinx Cores case is a bit more complex. You can regenerate your cores for the new project... you only have to check the paths contained in the .xco file and change it to your new project path, and then regenerate the core. Anyway, you can check all the files generated by Coregen in the [core_name]_readme.txt file created by Coregen in your working directory.

"cyd" escribió en el mensaje news: snipped-for-privacy@g43g2000cwa.googlegroups.com...

Reply to
Diego Lillo

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.