ISE 8.1

Hi there, I'm approaching ISE 8.1i. Trying to Simulate Behaviour Model I get the error message:

"HDLParsers:164 - C:/Xilinx_Projects/tri_state_test/tri_state_tbw.vhw" Line 43. parse error, unexpected CLOSEPAR, expecting IDENTIFIER or STRING_LITERAL.

I'm using a resolution function to solve a bus, and it seems the resolution function is not inserted in the self-compiled .vhw file ("SIGNAL OUTPUT : := '0';", there is no signal's type).

Can anybody tell me whether I'm wrong somewhere or there is a bus?

Thanks

Reply to
lorenzo.verardo
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.