CPLD serial buffer problem

Hi, I interfaced a USB 8 bit data bus with a CPLD. I made a 48 bit buffer (

ser_buff ). I am loading the buffer at each falling edge of the USB clock. and then copying it into another 48 bit buffer ( Temp ) and the serially ouputting the Temp buffer in another process running on different clock. "inc" signal acts as a trigger signal to the other process to start parallel to serial conversion.

The problem is that I am not seeing the right data coming out of the CPLD ( ser_out) port. If kept the USB data to zero but at the ser_out, I can still see some ones. Please adivce soon. My code is given below

Thanks John Process (State)

Begin

Data_clk

Reply to
john
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.