boundary scan of altera epm570F

Guys

I'm going home with a problem unsolved.

After an ALTERA EPM570F has been programmed can it still be boundary scanned using the original BSDL file or does the fact that IO pins are now hard wired as Input or Output mean that they can only be scanned as Inputs or Outputs. (nneding the BSDL to be changed).

I have spent an hour on the ALTERA website and it is not at all clear. In some places it suggests that ISP is the last JTAG thing that would be done.

Apologies for perhaps being very slightly off topic but a simple yes or know from someone who knows is all that I'm hoping for.

Regards

Colin

Reply to
colin
Loading thread data ...

Hi Colin,

In the Assembler settings tab, select 'Always enable input buffers' and recompile the design.

Hope this helps, Subroto Datta Altera Corp.

Reply to
Subroto Datta

Hi Colin,

In the Assembler settings tab, select 'Always enable input buffers' and recompile the design.

Hope this helps, Subroto Datta Altera Corp.

Reply to
Subroto Datta

Thanks for saving me a lot of time. Allthough putting it right is going to take lots more!

Unfortunately the firmware has been formerly released by a third party in the US and I have to prepare it for volume manufacture in the far east and I work in the UK.

I will go and check, but I think that all the "errors" were stuck at ones. Is the result that should be expected?

Allthough you have saved me some considerable time could you tell me where the designer should have found this info. If it's very obvious then I will have a quiet word with him. If it's not in a very obvious place (and I have spent longer than I feel I should have looking) then perhaps you could have a quiet word with your technical authors.

Regards

Colin

Reply to
colin

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.