Latest threads in Electronics (Polish)show only best voted threads

Subject Author Posted Replies
 
Cegi do rejestracji
Witam. Chcia³bym zakupiæ cegi do rejestracji przebiegu pr±du. Zalozenia: - pasmo przenoszenia - od DC do 4kHz - zakres pr±dowy - najlepiej dwa przelanczane zakresy jeden do 20A drugi do 400A -...
12
12
 
Antena do komputera
Pracuję w linii prostej jakieś 30-40 metrów od miejsca w którym mieszkam. W pracy jest sieć bezprzewodowa. Czynna cały czas. Mam do niej hasło bo jej używam. W domu komputer widzi sieć ale...
7
7
 
W czym rysujecie schematy?
Witam. Szukam jakiego¶ prostego w uzyciu programu do rysowania schematów elektronicznych. Musze narysowaæ schemat ideowy ukladu elektronicznego na podstawie gotowej p³ytki PCB. Plytka jest...
21
21
 
Stabilizator 24VDC 60A
Witam, mam zasilacz niestabilizowany (na wyj¶ciu ok 30VDC). Potrzebujê stabline napiêcie 24VDC. Na czym zbudowaæ stablilizator mogacy przenie¶æ obci±¿enie ok 60A. Nie chcê ingerowaæ w...
15
15
 
obudowa rack 19" wymiary...
Czy kto¶ wie, jaka mo¿e byæ maksymalna szeroko¶æ obudowy rack 19" (bez "uszu"). Bo nie mogê tego znale¼æ. te¿ nie podaje...
19
19
 
Przypominacz o włączeniu świateł w
Kilak razy udało mi się nie włączyć świateł w samochodzie (padam ofiara silnego światła ulicznego i zauważam dopiero nocą że nie mam włączonych świateł, za dnia tez czasem nie...
10
10
 
Jaki RTC ?
Witam. Założenia: a) RTC z podtrzymywaniem bateryjnym b) bateria najlepiej zaszyta w środek obudowy. Kawarc też :D c) liczenie sekund, godzin, ... lat (z automatycznym uwględnianiem...
7
7
 
CAN - specyfikacja protokołu
Czy może ktoś podrzucić link do informacji o CAN ? Czy da się zrobić w jakiś prosty sposób (ATTiny) element sterowany przez CAN ?
8
8
 
CXD1135 - co się dzieje ?
Witam Chciałem podłączyć przetwornik - DAC - na WM8804 i WM8740 do odtwarzacza Fonika CDF-050R. Zrobiłem w odtwarzaczu wyjście cyfrowe z nóżki 27 CXD1135 (podłączyłem to do inwertera HC00 i...
5
5
 
szukam opto
Witam nie mogê nigdzie kupiæ tlp759 10 szt czy zamiast niego mogê wstawiæ 1n137 "pinowo" i logicznie siê zgadzaj± uk³ad to interfejs rs232 z optoizolacj± do urz±dzonka ps. je¶li kto¶ ma...
1
1
 
Generator przebiegu prostokatnego, 1-5MHz
Witam, musze zaprojektowac generator przebiegu prostokatnego (0/5V) o regulowanej czestotliwosci w zakresie 1-5MHz i nie bardzo wiem, jak sie do tego zabrac... Moze by tak zrobic oscylator colpittsa...
32
32
 
szczenięta rodowodowe
In the darkest hour on Sun, 12 Sep 2010 08:31:32 +0000 (UTC), Golden Fort > screamed: Jak w obudowie DIP, to węzmę jednego sampla.
5
5
 
Zabezpieczenie przeciążeniowe.
Witam, przypadkiem natrafiłem na pewien problem i nie wiem jak sobie z tym poradzić. Mam zabezpieczyć silnik 230/400V (3 fazowy), zabezpieczaniem przeciążeniowym w zakresie 8-12A. Czy jest jakaś...
11
11
 
NTG. Exell pauzę zamienić na przecinki.
Sorry że NTG ale wydaje mi się, ale z doświadczenia wiem że jest tu dużo "tęgich głów". Czy ktoś umie przekonwertować w Exelu datę z: 1959-07-15 na 04/18/1994 (zamienić pauzę na...
2
2
 
czujnik ruchu przy samochodzie - jakies pomysly
Czesc, jakbym dorwal gnojkow, co znowu...... Macie moze jakis pomysl na czujnik ruchu przy samochodzie? Generalnie to posiadam (narazie w pudle) alarm samochodowy z powiadomieniem radiowym. Oprocz...
8
8