Altera Quartus II 4.2 broke our simulation!

We are using a Stratix device and have an extensive verilog test bench. When we use the behavioral models altera_mf.v and 220model.v that come from Quartus II version 4.2 (just out) and run the test bench (with vcs) it compiles just fine but gets lots of errors. Many of the failures were things like register X reads xxx and should be something real. I thought maybe I might have to regenerate all the RAMs and such that were generated with the Megawizard plug in manager so I did that. It had no effect. I do not have time to track down the specifics of why we got failures since I have a chip to develop. Needless to say I have gone back to 4.1 SP2 and its altera_mf.v and 220model.v, which work just fine.

Does anyone else have experience, good or bad, with 4.2?

Nate

Reply to
Nate Goldshlag
Loading thread data ...

bench.

come

something

such

that.

I

Hi Nate,

Would it be possible to send me a code sample that reproduces this problemor your design so that we can investigate this further. I checked with the person in charge of simulation models and he said that these type of message should not occur.

Subroto Datta Altera Corp.

Reply to
Subroto Datta

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.