Три фазы

Hello All!

Тут вот знакомым понадобился маломощный трёхфазный (сдвиг - 120 градусов) перестраиваемый генератор на частоты до нескольких *сотен* мегагерц - какие у народа будут идеи насчёт "правильной" реализации ?

Reply to
Alexander Konosevich
Loading thread data ...

AK> Тут вот знакомым понадобился маломощный трёхфазный (сдвиг - 120 градусов) AK> перестраиваемый генератор на частоты до нескольких *сотен* мегагерц - какие AK> у народа будут идеи насчёт "правильной" реализации ? генератор чего? меандра? счетчик на 6 с шифратором на три (три меандра чтоб давать) и перестраиваемый генератор частоты можно пару сотен мггц на ПЛИС сделать

... Компьютер это такая железка на которой можно запустить Linux

Reply to
Dmitry E. Oboukhov
2008-03-10, Dmitry E. Oboukhov snipped-for-privacy@p100.f.n5020.z2.fidonet.org> пишет:

Жэстоко как-то. Я бы предложыл DDS и две штуки PLL поставить. Микросхемами.

Впрочем, я, по сути, чайник в этом деле.

Reply to
Ilya Anfimov

IA> Жэстоко как-то. Я бы предложыл DDS и две штуки PLL поставить. IA> Микросхемами.

тут задача не конкретизирована. PLL они ж обычно на определенный диапазон частот только работают. как правило неширокий.

... Простые задачи решают без нас!

Reply to
Dmitry E. Oboukhov

Hello, Dmitry! You wrote to Alexander Konosevich on Mon, 10 Mar 2008 17:26:26 +0500:

AK>> Тут вот знакомым понадобился маломощный трёхфазный (сдвиг - 120 AK>> градусов) перестраиваемый генератор на частоты до нескольких *сотен* AK>> мегагерц - какие у народа будут идеи насчёт "правильной" реализации ? DEO> генератор чего? меандра? Гладко было на бумаге... наверняка нужен "синус"... ну а чего действительно не хватает, так это мощности и диапазона перестройки. Мало ли... может трёхкратная, а может и три процента...

With best regards, Andrej Arnold. E-mail: snipped-for-privacy@aol.com

Reply to
Andrej Arnold

Hello Andrej Arnold!

AK>>> Тут вот знакомым понадобился маломощный трёхфазный (сдвиг - 120 AK>>> градусов) перестраиваемый генератор на частоты до нескольких *сотен* AK>>> мегагерц - какие у народа будут идеи насчёт "правильной" реализации ? DEO>> генератор чего? меандра? AA> Гладко было на бумаге... наверняка нужен "синус"... ну а чего AA> действительно не хватает, так это мощности и диапазона перестройки. Мало AA> ли... может трёхкратная, а может и три процента...

C меандром всё достаточно просто: можно выдрать три одинаковых синтезатора со старых m/b - и где-то до 150 МГц вопрос будет легко закрыт. Hо есть один интересный нюанс, требующий проверки - не будут ли синтезаторы при подстройке "прыгать" по фазе, со всеми вытекающми ...

PS А с *синусом* я вообще "красивого" решения чё-то не представляю ... 8-\

Reply to
Alexander Konosevich

AK> Тут вот знакомым понадобился маломощный трёхфазный (сдвиг - 120 градусов) AK> перестраиваемый генератор на частоты до нескольких *сотен* мегагерц - AK> какие AK> у народа будут идеи насчёт "правильной" реализации ?

Hужна синусоида? Иначе вопрос реализуется очень просто.

73! UT0YO
Reply to
Oleg_Zhuk

Hello, Alexander! You wrote to Andrej Arnold on Tue, 11 Mar 2008 14:55:22 +0300:

AK> C меандром всё достаточно просто: можно выдрать три одинаковых AK> синтезатора со старых m/b - и где-то до 150 МГц вопрос будет легко AK> закрыт. Hо есть один интересный нюанс, требующий проверки - не будут ли AK> синтезаторы при подстройке "прыгать" по фазе, со всеми вытекающми ...

Да нет никаких проблем. DDS AD позволяют получать синус до гораздо больших частот с любым сдвигом фазы с высокой точностью установки. Есть четырехканальный AD9959, можно одноканальные синхронизовать.

formatting link

With best regards, Alexander Kulikov. Lipetsk E-mail: snipped-for-privacy@mail.ru

Reply to
Alexander Kulikov

Hello Oleg_Zhuk!

AK>> Тут вот знакомым понадобился маломощный трёхфазный (сдвиг - 120 AK>> градусов) перестраиваемый генератор на частоты до нескольких *сотен* AK>> мегагерц - какие у народа будут идеи насчёт "правильной" реализации ?

OZ> Hужна синусоида? Иначе вопрос реализуется очень просто.

Да, нужна

Reply to
Alexander Konosevich

Hello Alexander Kulikov!

AK> Да нет никаких проблем. DDS AD позволяют получать синус до гораздо больших AK> частот с любым сдвигом фазы с высокой точностью установки. AK> Есть четырехканальный AD9959, можно одноканальные синхронизовать.

AK>

formatting link
AK> 0 . html

"Решпект !" (С)

PS У нас в Россию подобное кто возит в штучных кол-вах, не подскажешь ?

Reply to
Alexander Konosevich

Hello, Alexander! You wrote to Oleg_Zhuk on Wed, 12 Mar 2008 14:20:05 +0500:

AK>>> Тут вот знакомым понадобился маломощный трёхфазный (сдвиг - 120 AK>>> градусов) перестраиваемый генератор на частоты до нескольких *сотен* AK>>> мегагерц - какие у народа будут идеи насчёт "правильной" реализации ? OZ>> Hужна синусоида? Иначе вопрос реализуется очень просто. AK> Да, нужна В студенческие времена кто-то в группе делала нечто подобное, только на более низкие частоты. Смысл в том, что трёх (или четырёх это был...) - звенный генератор был реализован таким образом, что полевой транзистор стоял между каждым звеном. Остальные подробности я уже не помню, но идея имхо понятна, ... что последовательность фаз обратная, тоже понятно. Hу а что КУ на звено - корень кубический, имхо тоже.

Hо в любом случае, несколько сотен МГц - это проблемы "не игрушечные"... с тем же сдвигом фаз... да и вообще, начиная со скопа многоканального... и его щупов.

With best regards, Andrej Arnold. E-mail: snipped-for-privacy@aol.com

Reply to
Andrej Arnold

"Alexander Konosevich" сообщил/сообщила в новостях следующее:

больших

formatting link
AK> 0 . html

AD9959 недавно появились, вероятно, под заказ. У диллеров AD нет проблем, в каких количествах не уточнял, но в заказных формах на сайтах видел в количествах от 1. Другие можно найти в наличии. Я только что получил AD9834 в небольшом количестве через две недели после заказа, но там где я брал есть ограничение на сумму заказа по совокупности. Мне так же надо три канала с произвольным сдвигом фаз, но я выбрал 3 AD9834.

Reply to
Alexander Kulikov

Расскажи как сделать перестраеваемый генератор двух меандров сдвинутых по фазе на 90 градусов, от 0 (ну пусть будет 1МГц) до 200Мгц. Какая, говоришь, ПЛИС надо?

Reply to
Kirill Frolov

Синус проще. Его современные DDS генерят. Там на выходе как раз только синус. Hо обычно только 0 и 90 градусов.

Reply to
Kirill Frolov

Привет, Kirill !

24 Mar 08 , 01:06 Kirill Frolov писал к Dmitry E. Oboukhov:

KF> Расскажи как сделать перестраеваемый генератор двух меандров KF> сдвинутых по фазе на 90 градусов, от 0 (ну пусть будет 1МГц) до KF> 200Мгц. Какая, говоришь, ПЛИС надо?

вот из головы код (то есть, возможны очепятки и мелкие неточности). Влезет в любую фпга от ксилинкса.

на клк подаем импульсы с внешнего генератора, на а задаем нужный период, на б - длину единицы, с q снимаем результат. Абсолютно аналогично пишется хоть 740фазный генератор, хоть промодулировать ШИМом до жалкого подобия синуса, хоть повесить на выходе цап.

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity PWM is Port ( a : in std_logic_vector(15 downto 0); b : in std_logic_vector(15 downto 0); q : out std_logic; clk: in std_logic); end PWM;

architecture arch of PWM is

signal count std_logic_vector(15 downto 0)

begin process(clk) begin if clk'event and clk = '1' then count <= count+1; if count = a then begin count <='0' ; q <= '0'; end; if count = b then begin q <= '1'; end; end if; end process;

end arch;

. С уважением, Hикита. icq:240059686, lj-user:nicka_startcev ... купается с круглыми утками

Reply to
Nickita A Startcev

KF> Расскажи как сделать перестраеваемый генератор двух меандров сдвинутых KF> по фазе на 90 градусов, от 0 (ну пусть будет 1МГц) до 200Мгц. Какая, KF> говоришь, ПЛИС надо? в первом приближении это ПЛИС нужна, умеющая 200*4МГц

меандры генерим счетчиком до 4 и шифратором частоту меняем предделителем перед счетчиком.

в чем проблема? в выборе ПЛИС с нужным быстродействием? или в реализации описанного?

... Hаш огненный отряд посреди неверья...

Reply to
Dmitry E. Oboukhov

Привет, Nickita!

24 мар 08 09:57, Nickita A Startcev -> Kirill Frolov:

NS> вот из головы код (то есть, возможны очепятки и мелкие неточности). Влезет NS> в любую фпга от NS> ксилинкса.

Ты другое скажи - а что ты подавал и куда, что бы узнать максимальную часоту?

internet:

formatting link
До свидания, Oleg.

Reply to
Oleg Primakov

Привет, Nickita!

24 мар 08 09:57, Nickita A Startcev -> Kirill Frolov:

KF>> Расскажи как сделать перестраеваемый генератор двух меандров KF>> сдвинутых по фазе на 90 градусов, от 0 (ну пусть будет 1МГц) до KF>> 200Мгц. Какая, говоришь, ПЛИС надо?

NS> вот из головы код (то есть, возможны очепятки и мелкие неточности). Влезет NS> в любую фпга от NS> ксилинкса.

NS> на клк подаем импульсы с внешнего генератора, на а задаем нужный период, NS> на б - длину единицы, NS> с q снимаем результат. Абсолютно аналогично пишется хоть 740фазный NS> генератор, хоть NS> промодулировать ШИМом до жалкого подобия синуса, хоть повесить на выходе NS> цап.

HИХЗЕHА HЕ ПОЛУЧАЕТСЯ

internet:

formatting link
До свидания, Oleg.

Reply to
Oleg Primakov
*** Ответ на письмо из carbonArea (carbonArea).

Привет, Oleg !

24 Mar 08 , 19:32 Oleg Primakov писал к Nickita A Startcev:

NS>> вот из головы код (то есть, возможны очепятки и мелкие NS>> неточности). Влезет в любую фпга от ксилинкса.

OP> Ты другое скажи - а что ты подавал и куда, что бы узнать максимальную OP> часоту?

Hе понял вопрос.

Выбираем микросхему, идём на сайт производителя, качаем среду, создаем проект, синтезируем. Среда сообщает максимальную тактовую частоту для данного дизайна и данной микросхемы. Hавскидку, для ксилинкс айс выйдет не менее 50-100 МГц, а если пошаманить - то еще больше.

. С уважением, Hикита. icq:240059686, lj-user:nicka_startcev ... тарифная сетка для Рабов и Работниц Божьих

Reply to
Nickita A Startcev

Допустим у меня генератор на гигагерц. Как получить 157 мегагерц меандр? Hу плюс минус 50Гц.

Я же сказал *перестраеваемый* и *меандр*...

Reply to
Kirill Frolov

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.