Xilinx multiplier out of slices

Hi,

I'm new to the Xilinx tools. How do I tell Xilinx ISE 7.1 to synthesise the following statement:

y
Reply to
Peter Sommerfeld
Loading thread data ...

Reply to
Symon

Synplify counts the number of multiplier uses and and if you overflow the available resources, then it puts the smaller mults into the logic fabric. So you do not normally need to use the syn_multstyle attribute. If you are doing bottom up design there is an available resources attribute that lets you set the budgets for your block.

Ken McElvain Synplicity, Inc.

Sym> Pete,

Reply to
Ken McElvain

Attribute mult_style:String; Attribute mult_style of y : signal is "lut";

(I believe you can apply the attribute to component or label names too)

- Brian

Reply to
Brian Drummond

Hello

If you have coregen, use it for generate multiplier core and set it, not to use multipliers. If you haven't mhm...

Jerzy Gbur

Reply to
Jerzy Gbur

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.