System Verilog Import package error

Hello, I have a few packages that I have written like this:

package A;

--
-- 
endpackage 

package B; 
import A::*
Reply to
nikhil777nix
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.