synthesis error - left bound of range doesn't evaluate to a constant

hello friends,

i am new to leonardo spectrum, but i known vhdl..

in my part of coding given below

signal pnct_indx : integer range 0 to 200; signal cnvenc_indx : integer range 0 to 300;

ln.no process(clk,rst) 1 if rst = '1' then 2 punct_data '0'); 3 punct_full ,= '0'; 4 elsif clk'event and clk = '1' then 5 if count = 6 and pnct_indx < 192 and cnvenc_indx < 288 then 6 punct_data(pnct_indx to pnct_indx+3)

Reply to
senthil
Loading thread data ...

Hi there, Kindly send an email to synthesis snipped-for-privacy@mentor.com, and we can take a look for you. I believe there are other ways of describing what you want, that will pass synthesis. B. Regards, Darren Zacher Mentor Graphics Corp.

Reply to
Darren Zacher

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.