Spartan Software

Hi

I have a Spartan FPGA, which I would like to program in VHDL. The trouble is I can't find any software for it. I own the Xilinx WebPack and the Xilinx ISE 5.2i, both of which list the FPGA but they only allow EDIF designs (not VHDL).

Does anyone know where I can get the software?

Thanks.

Reply to
Kev
Loading thread data ...

Assuming you mean the old 5V Spartan, or the 3.3V SpartanXL, the P&R tools are freely downloadable from ISE classics page here

formatting link

However you will need to use a 3rd party synthesis tool like Leonardo or Synplify.

--Neeraj

Reply to
Neeraj Varma

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.