Re: MapLib:93 - Illegal LOC on symbol "clk.PAD" (pad signal=clk) or BUFGP symbol "u1" (output signal=u1), IPAD-IBUFG should only be LOC'd to GCLKIOB site."

> ERROR:MapLib:93 - Illegal LOC on symbol "pin_cpuphase2" (pad

> > signal=pin_cpuphase2) or BUFGP symbol "pin_cpuphase2_bufgp" (output > > signal=pin_cpuphase2_bufgp), IPAD-IBUFG should only be LOCed to

GCLKIOB

> site. > > > > so, apparently it wants that signal to be on a GCLKIOB; what would be

the

> workaround to use this input as a clock without going through one of the > > gclkiob pin? > > You need to use a simple IBUF instead of an IBUFG. Your synthesis tool > is probably trying to be helpful. You may have to instantiate the IBUF. > > Hamish > -- > Hamish Moffatt VK3SB
Reply to
Sandeep Kulkarni
Loading thread data ...

ERROR:MapLib:93 - Illegal LOC on IPAD symbol "autman" or BUFGP symbol "autman_BUFGP" (output signal=autman_BUFGP), IPAD-IBUFG should only be LOCed to GCLKIOB site.

same error

how to solve this problem

i am using 9.1 ver.

Reply to
sagarmemane4

Global clocks can only be input at specific pins of an FPGA. If you absolutely MUST use a non-global clock pin, then you need to route it through the fabric, and the timing will be less well controlled.

Jon

Reply to
Jon Elson

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.