Modelsim XE, what's the latest version?

I had 2003 version on my PC, but it's giving me performance hassles on yesterday's simulations. What is the latest version of Modelsim for Xilinx? How is the performance?

I am writing a 20K+ design for opencores, what free simulator can I use?

Thanks for your info.

Frank

Reply to
Frank
Loading thread data ...

BTW, I am dumb, so I need GUI to view waveforms during debugging.

Reply to
Frank

Forget Modelsim for Xilinx as its knobbled for large designs.. they want you to buy the full version

Simon

Reply to
Simon Peacock

I use Modelsim MXE Starter. The full version is expensive! What would you suggest to use instead, Simon?

I use Modelsim at work so I'm familiar with it, and my own pers> Forget Modelsim for Xilinx as its knobbled for large designs.. they want you

Reply to
Luke

Thank you Simon. I am doing my opencores design on my home PC as a passtime, so I intend to spend no extra $ only time on it. How does Icarus & IVI combination perform? My design is 10K and vcd dump is as large as 50MB.

you

use?

Reply to
Frank

Thank you Simon. I am doing my opencores design on my home PC as a passtime, so I intend to spend no extra $ only time on it. How does Icarus & IVI combination perform? My design is 10K and vcd dump is as large as 50MB.

you

use?

Reply to
Frank

Thank you Simon. I am doing my opencores design on my home PC as a passtime, so I intend to spend no extra $ only time on it. How does Icarus & IVI combination perform? My design is 10K and vcd dump is as large as 50MB.

you

use?

Reply to
Frank

I can't really recommend IVI. It it's definitely not easy to use or particularly stable. I'm also not a tremendous fan of Icarus. The last version I used 0.8.1 (I think) had a memory leak so the performance was abysmal. It was far outpaced by the slowed down modelsim XE.

If you can't spend money, I recommend gpl cver and gtk wave. They aren't great, but they'll do the trick. I find cver to be slower than modelsim by a fair bit, but it's faster once the limit is exceeded.

formatting link
formatting link

I must give the warning that gtkwave loads the whole vcd into memory and then some, so if you're opening 300MB VCD files, you really need to have at least 1 GB of memory (maybe more). I know I have 768MB and it thrashed until I killed it.

There is no way you are going to get a free tool with a gui like modelsim. Heck, most commercial tools don't have guis like modelsim. As far as I know vcs and ncverilog don't have nice guis. With those tools you do everything on the command line.

Good luck, Arlen

Reply to
gallen

Thank you for the information. I will try that later.

Frank

Reply to
Frank

gallen wrote:.

NC-Verilog has a GUI. You can invoke it with the +gui option to ncverilog, or the -gui option to ncsim.

Reply to
sharp

I've also launched ncsim in post-process mode (ncsim +ppe ...) But I must say, it's hard for me to remember all the necessary command-line specifiers to select the right 'cds.lib', 'hdl.var', etc. (I'm really dumb when it comes to design library management!)

Reply to
do_not_reply

I know that NC has a gui. I have used it. I just don't think it is a nice gui. It's certainly not a complete or as powerful (or stable) as modelsim's.

-Arlen

Reply to
gallen

For the sake of completion VCS does have GUI, new one is called DVE and is quite powerful. I wouldn't comment (yet) on its stability, it has lot of good features (that you usually find/expect in such GUI). Specifically I like their assertion debug stuff.

Last time I played with Simvision (NC's GUI), it looked very stable and very nice to use. I particularly liked their TCL interface - very powerful indeed.

HTH Ajeetha

formatting link

Reply to
Ajeetha

ModelSim is the best simulator you can buy; it's the industr standard Unfortunately it's very expensive

Reply to
gliss

I strongly recommend Veritak:

formatting link

it works for me for 100K+ designs and the GUI is friendly and very stable.

Veritak is $50 and right now it is 1/2 the speed of ModelSim PE (their entry-level paid version). You can try Veritak for free for two weeks and after that you need to buy the license.

Reply to
raul

You are right, you have to pay all of $50 for a very good GUI:

Veritak 1.75a

formatting link

RAUL

Reply to
raul

If you use verilog than you may try icarus. For PC you can get it with cygwin or windows version. For windows -

formatting link
Use GTK waves to see VCD waves. Since you mentioned that your VCD is quite large, than you may consider to dump only part of the design and only on some of the simulation time.

examples //will dump all signal for all the time initial begin $dumpfile("1.dump"); $dumpvars(0, tb); end

//Only three levels initial begin $dumpfile("1.dump"); $dumpvars(3, tb); end

initial begin #1000 $dumpfile("1.dump"); $dumpvars(0, tb); end

Frank wrote:

Reply to
Pinhas

|I must give the warning that gtkwave loads the whole vcd into memory |and then some, so if you're opening 300MB VCD files, you really need |to have at least 1 GB of memory (maybe more). I know I have 768MB |and it thrashed until I killed it.

FYI, with gtkwave you can use the converter tools in order to keep from loading the whole VCD file into memory. vcd2lxt, vcd2lxt2, or vcd2vzt should do the trick. Large files will load on small machines then as they're only brought in as needed.

-t

Reply to
bybell

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.