miniuart

hello

i plan on synthesizing miniuart (opencores.org) onto a spartan 3 demo board. but then i dont know how to test it. how do i configure/run a terminal console in windows to talk with my board?

thanks, Peter

Reply to
zhangweidai
Loading thread data ...

Hyperterminal ?

Reply to
Martin Schoeberl

use hyperterminal for the configuration of HT, it depends of the configuration of your uart (speed,data size, parity,stop bit), you should make some application after the uart that take the data from the uart and send it back like this you could check the uart.

Reply to
kcl

thanks for the reply. I found some programs that will help me send/receive signals from the pc side. My question now is how do I set up the FPGA? mainly, how should i assign pins when synthesizing miniuart. I want to use rs232

Reply to
zhangweidai

If you are connecting to a PC serial port you need a RS232 transceiver chip between the signals and the FPGA as the voltage levels are not directly compatible with FPGAs or most other logic chips for that matter. Many development boards have driver chips on board or like us have a add-on module for this if you are using one of these. If you have a development board then the boards with the "fixed" solution will predetermine FPGA pins. Our approach you assign the pins of the header that your are actually using.

John Adair Enterpoint Ltd. - Home of Raggedstone1. The board cheaper than a tank of petrol.

formatting link

Reply to
John Adair

No, not Hyperterminal. Use pretty much anything except Hyperterminal - from wide experience (personal and heresay - this crops up in comp.arch.embedded regularly), Hyperterminal is often very unreliable as well as being overly complicated for a direct RS-232 connection.

You are far better downloading Tera Term Pro (first hit on google). It is much better suited for connecting embedded boards to a PC.

If you want something a bit more powerful, with easy control of the control lines, display in hex, and that sort of thing, at a cost of a more complex interface, go for RealTerm.

Both programs are free and open source.

Reply to
David Brown

Yes. And once you have that wired up, you should configure the FPGA without the uart, but just sending the signal from the receive data pin (from the transceiver) out the send data pin (through the transceiver to the computer).

In your terminal program, this should mean anything you type is returned to you either once (vs not at all when the cable is disconnected) or twice (vs only once with the cable disconnected). The difference depends on if your terminal program is running in full or half duplex mode, or if it's hyperterminal if you have the echo local characters box checked in the window that results from the ascii setup button of the properties dialog.

Once you've verified the electrical part that way, you can try working on using the uart core itself.

Reply to
cs_posting

thanks for the reply. i actually just figured out that the board is using a max3232 to talk with rs232. my problem now is with miniuart. precision says its "Current design is not a gate level design." does that mean its not synthesizable? and it also appears that i only have a txd and txd. like 2 pins... is that enough?

pz

Reply to
zhangweidai

You can get away with just TX and RX. The only problem is you get data overflow in your UART and data gets lost.

What tool are you using synthesis? The message does sould like you might have behavioural VHDL that most synthesisers won't handle.

John Adair Enterpoint Ltd. - Home of Broaddown2. The Ultimate Spartan3 Development Board.

formatting link

Reply to
John Adair

Thank you John. You've provided me with much help so far! Ive found some great tutorial/start programs to try on my fpga picoblaze or something.

Reply to
zhangweidai

Hi, Please someone help me too.

As a school project i am designing a Viterbi Decoder, now i have to test it on FPGA.

Please can you give me the guidelines or the pointers to how can i actually test the code.

Someone gave me a clue that using RS232 will help. But, i have no clue, how to transfer the data from my PC to FPGA and how to receive the output. In which format am i supposed to send the data, which data file?

Please if someone can guide me.

thanks and regards

Reply to
preet

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.