ISE 7.1i incremental synthesis

I'm trying to get incremental synthesis working with the Xilinx tools, ISE 7.1i. I applied the most recent service pack, 7_1_04i_lin.zip which was supposed to fix a fatal bug with this feature. However, I still cannot get it to work. Has anyone had any luck with this?

My .xst file contains these options (along with many others): -enable_auto_floorplanning incremental_design -uc project.xcf

My project.xcf file contains one line: MODEL "top" incremental_synthesis=yes

XST reports the following error: Reading constraint file project.xcf. ERROR:Parsers:53 - Error found while parsing the end of the constraints file. Check the last entry in the constraint file for valid syntax. ERROR:Xst:1338 - XCF parsing failed.

The Xilinx docs seems to indicate this should work...

Strangely, even after the service pack, xst still reports the following version. Perhaps the sp4 didn't upgrade it correctly?? bash-2.05b$ /opt/xilinx/bin/lin/xst --version Release 7.1.02i - xst H.42

-Brian

Reply to
bkuschak
Loading thread data ...

Hi,

Make sure that you have your XILINX and PATH environment variables pointing to the correct location.

Regarding the XST error, is it possible that there is no linefeed after the one line in your .xcf file? I have seen cases where, without the linefeed after the last line, it is not interpreted correctly.

Stephan

Reply to
Stephan

Thanks. Your comment forced me to closely examine my XCF file. It turned out to be pilot error - I was missing a semicolon at the end of line. Doh!

Now it's working.

-Brian

Reply to
bkuschak

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.