Debugging error in VHDL

I have got two errors in my VHDL program of an ALU. The errors ar

HDLParsers:3324 - IN mode Formal enable of add8bit_wc wit

no default value must be associated with an actual value HDLParsers:162 - Read symbol PORT, expecting ';'

Anyone there please help me out

Reply to
akshye
Loading thread data ...

ElectronDepot website is not affiliated with any of the manufacturers or service providers discussed here. All logos and trade names are the property of their respective owners.